Witam. Ja do budowania bramy uchylnej wykorzystałbym aktuatory liniowe dostępne w sklepach z osprzętem TVSAT. Kupowałem taki do sterowania klapą w kominie. Wiem, że można kupić spokojnie aktuator o sile udzwigu do 100kg, więc nie powinno być problemu z otwarciem bramy. Aktuator (siłownik liniowy elektryczny) zbudowany jest tak, że silnik za pomocą przekładni...
Witam jak w temacie czy budowal ktos brame szynowa konstrukcyjnie podobna do przesuwnej z tym ze nie ma przeciwwagi i jezdzi na rolkach po precie fi 20mm Chcialem zbudowac na profilu lecz we wzgledu na brak miejsca (calkowita odleglosc to 5,85m i zdecydowalem sie na budowe bramy jezdzacej na rolkach jaki musi byc min odleglosc miedzy slupkami prowadzacymi...
Witam Mam ogromną prośbę do znawców tematu. Jestem na etapie instalacji elektrycznej w moim domu i mam ciężki temat do zgryzienia, a mianowicie potrzebuje info na temat jak najlepiej zaplanowac inst. domofonową tak aby obsługiwała bramkę, bramę przesuwną no i kamerkę videodomofonu oczywiście z mikrofonem. Myślę że kolejnośc wyglądałaby następująco:...
Witam serdecznie i proszę o pomoc. Jesteśmy z żoną w trakcie budowy nowego ogrodzenia. Oczywiście jak to przy nowym projekcie żona ma życzenia które muszą być spełnione. 1. Domofon z otwieraniem furtki za pomocą bryloczka bez kamery. Tylko rozmowa glosowa. 2. Unifon bezsluchawkowy (ostatecznie że słuchawka) otwieranie furtki i bardzo bardzo miłe widziane...
Cześć, Posiadam w swoim nowo budowanym domu bramę Hormann z ww. napędem i rolety na systemie Mobilus. Jako, że do sterowania roletami będę używał aplikacji od Mobilusa - chciałbym podpiąć też pod nią bramę. Muszę zastosować moduł C-GR, tylko pytanie jak go podłączyć i nie wiem czy dobrze myślę. Moduł Mobilusa ma 2 wyjścia (strzałka góra i dół). Czy...
Instrukcja montaźu określa wysokość zamontowania szyny. Napęd dowolny + radio beninca lub napęd beninca. Czy proponujesz jakiś konkretny model za rozsądną cenę? Brama będzie pewnie otwierana dwa razy dziennie - rano i wieczorem, więc szczególnego obciążenia nie przewiduję. Sama brama też nie należy do najcięższych z uwagi na rozmiar i budowę (blacha...
Dziękuję za odpowiedzi. Z budową bram to chyba tak jak z budową domu. Pierwszy buduje się dla wroga :). Wystarczyło na etapie budowy zrobić 5 a nie 4 metry i teraz nie byłoby problemu przynajmniej z wykorzystaniem mechanizmów, które zajmują trochę miejsca. Wcześniej tu nie było żadnego ogrodzenia i takie 4m wydawało się wystarczające. Wracając do meritum....
Pracuje nad budową napędu do bramy zbudowanym na silniku i przekładni samochodowej toyoty oraz sterowaną bramsterem, całość chce zasilić 12V DC. Początkowo miało to być trafo 150va jednak cos z nim jest nie tak bo po podłączeniu do sieci wywala korki, dlatego proszę o poradę jaki zasilacz zastosować do napędu oraz jakie wybrać wyłączniki krańcowe bo...
Witam. Zacznij od budowy wewnętrznej pojedynczej bramki. Zawiera ona 10 tranzystorów. Pzdr.
Witam, proszę o pomoc i wyrozumiałość. Jestem w trakcie budowania bramy garażowej, która ma wymiary: 240 szer na 190 wys. Jest to jedno skrzydło całe, które chciałbym przymocować na zawiasach do nadproża, czyli u góry. Skrzydło miałoby się uchylać na zewnątrz do góry za pomocą 2 elektrycznych siłowników zasilanych na 24v, każdy z nich ma max siła 3000N...
Witam! Budując własną bramę i napęd do niej napotkałem na swojej drodze problem ogrzewania w zimę automatyki, która będzie zamontowana przy silniku, ale w osobnej, plastikowej skrzynce o wymiarach 30x22x12 [cm]. Będą w niej również dwa transformatory (jeden do logiki mały, drugi duży zasilający silnik włączający się tylko na czas jego pracy) oraz inne...
Podaj parametry eksploatacyjne bramy - garaż ogrzewany czy nie - w zależności od temperatur działających na przekładnie zalecane jest stosowanie smarów siliconowych i litowych o gęstości stałej - odpornych na temperaturę ujemną i nie gęstniejących do temp - 35 stopni C. Parametry jezdne zmieniają się w zależności od temperatury - również właściwości...
Witam. Jestem w trakcie budowy bramy segmentowej do napędu której chciałem użyć silnika trójfazowego z hamulcem i z przekładnią ślimakową . Brama będzie montowana w budynku gospodarczym. Chodzi o zastosowanie podobnego motoreduktora o parametrach podanych niżej wyposażonego w silnik z hamulcem. Napęd ma mieć ok 24obr/min bo tak mają oryginalne napędy...
Witam. Jestem na etapie budowy bramy przesuwnej. Od ponad miesiąca schnie już fundament z betonu B15, niedługo będę przykręcał wózki i tu pytanie, kotwy chemiczne czy stalowe? Sprzedawca w sklepie polecił mi chemiczne, ale jakoś nie jestem do tego przekonany. Brama 6m, skrzydło 1,7m, fundament 200x40x110, Pozdrawiam.
Witam! Zabieram się do budowy napędu bramy przesuwnej i potrzebuje silnika z przekładnią. Nasuwa się tu pytanie: jaki to ma być silnik? Myślałem nad silnikiem od wycieraczek od Jelcza lub Opla Corsy B. Czy te silniki dadzą rade? Brama ma 4m w świetle i wypełniona jest sztachetami.
Witam. Chcę na wiosnę zacząć budowę bramy. Światło bramy 5,30m chciałbym zapytać jak długą przeciwwagę zrobić. I fundament, widziałem że koledzy robią na około 1,30m głębokości i 0,5m szerokości tylko nie wiem jak długi zrobić
Dziękuję za komentarze, ale mam wrażenie, że Panowie jednak nie przeczytali.... Projekt jest umieszczony w gablotce, za szkłem i nie ma możliwości by "pobawić się" układem. Ot, takie uatrakcyjnienie szkolnego korytarza. Dodano po 26 Znak czasów: kiedyś za pomocą bramek budowało się procesory, dziś za pomocą procesorów buduje się bramki :) Prawda, prawda....
Witam Wszystkich Czy ktoś przerabiał temat budowy automatu do podnoszenia bramy garażowej. Właśnie zamierzam takowy zbudować. Może ktoś już dysponuje doświadczeniem w budowie takich urządzeń byłbym wdzieczny za każdą pomoc. Pozdrawiam
Macie może jakieś schematy do tego ? Tylko i wyłącznie przy pomocy bramki NOR mam zbudowac bramki: NOT , OR, AND. ( Jeśli by sie dało to prosiłbym o podobne schematy tak jak w moim sprawoazdanku :D) Miałem też zrobić to samo tylko z wykorzystaniem bramki NAND ale na szczęscie sie udało. Jakby ktoś potrzebował to prosze bierzcie :) Pozdrawiam
Witam. Jestem w trakcie robienia nowego ogrodzenia i zastanawiam sie jak zrobić bramę przesuwną(ręcznie). Przeglądam różne strony na ten temat i ciężko jest coś znależć wszędzie nowe do kupienia a ja chcę sobie zrobić sam. Trafiłem na wasze forum na którym jest trochę ciekawych porad. Moja brama ma mieć okno wjazdowe ok 6m. Moje pytanie jest następujące:z...
Witam! Jak w temacie. Nie mam pojęcia jak zbudować tak długą bramę, zapewne skrzydłową jest taniej i łatwiej, ale jakie muszą być słupki bo myślałem o betonowych do takiej długości bo to będzie już na stałe ta brama
Witam. Jako, że mamy już wiosnę a ja mam trochę wolnego czasu postanowiłem zabrać się za budowę automatu do bramy, który chodzi mi po głowie od dłuższego czasu. Przed rozpoczęciem budowy, chciałem się jednak poradzić forumowiczów, którzy się na tym znają. Moja brama to klasyczna 5 metrowa brama przesuwna (nie wie wile taka może ważyć). Proszę o poradę...
Witam.Interesują mnie informacje na temat budowy własnej bramki magnetycznej do wykrywania przedmiotów metalowych (zasada działania,może jakiś schemat,strona www z już podobnie wykonaną bramką).Z tego co już zdążyłem zauważyć w modzie są bramki wielostrefowe.
Witam. Domyślam się, że układ jest zbudowany o bramki logiczne? Tylko nie wiem jakie AND, NAND, OR, NOR, EX-OR, EX-NOR. Tabele prawdy do bramek znam. Zbudowałem układ za pomocą HFC4017 który wykrywa pole magnetyczne wokół przewodu. Czyli z nóżki 14 wyprowadziłem sondę dzięki której po przyłożeniu jej do kabla pod napięcie dioda mruga co oznacza, że...
Skoro jest narzucony typ bramek z jakich budujesz...
Od siebie dodam, że bardzo fajnie buduje się bramki w technologii DL (Diode Logic), każda bramka składa się z rezystora i tylu diod ile jest wejść. Ich wadą jest niestety to, że nie można na nich zrobić negacji.
Kilka lat temu zacząlem budowę napędu do bramy, ale z braku czasu projekt musiałem na pewien czas zawiesić. Zastanawialem się nad umieszczeniem elektroniki sterującej w garażu a do silnika i fotokomórek puścić już 12V. Z garażu do bramy jest ok. 6m, czy np trafo 250VA; 230VAC; 12V; 20,833A będzie w tym wypadku wystarczające?
Buduję bramę przesuwną - długość światła bramy 4 metry, masa bramy około 140 kg Do napędu będzie użyty motoreduktor dwubiegowy trójfazowy który już mam. Motoreduktor posiada dwa osobne uzwojenia do każdego biegu. Prędkość przesuwu bramy to około 14cm/s na 1 biegu i około 50cm/s przy drugim biegu Sterownik jaki chcę do tego użyć to "bramster" z AMT,...
Vdd to napięcie zasilania. Rozumiem, że może być dowolne w zakresie 3-18V a typowe 3 zakresy 5,10,15 podane są w tabeli. Jeśli z tego samego źródła podam napięcie przez rezystor 1MOhm to popłynie 10mA prądu. Otóż nie, jeżeli podasz na wejście bramki napięcie VDD popłynie prąd do wejścia bramki , jeżeli podasz napięcie VSS popłynie prąd z wejścia bramki...
Witam Jestem w trakcie budowy napędu do bramy garażowej uchylnej Hormann. I napotkałem mały problem z rozryglowaniem zamków na dole bramy. Chcę to zrobić na uniwersalnych siłownikach 12v od centralnego zamka. Napięcie by było podbrane od silnika napędu lecz czy może być napięcie tak długo na siłownikach?
Pytanie może trochę naiwne ale warto spróbować - czy może się ktoś dokładniej orientuje w tym temacie bo przeglądając katalogi i PDFy niewiele można się dowiedzieć na temat dokładniejszej budowy wewnętrznej np. 74MCxx lub 40xx i przeważnie posiadają wewnętrzne tranzystory mosfet typu (P) i (N) czy występują układy z tranzystorami jednego typu np. (P)...
[syntax=vhdl]library ieee; use ieee.std_logic_1164.all; entity test is port ( A : in std_logic; I : in std_logic; ); end entity; [/syntax] Należałoby zacząć od poprawienia deklaracji portów. Bramka ma być 8-wejściowa więc jako wejście potrzebujemy nie pojedynczy sygnał a wektor i jedno wyjście. [syntax=vhdl]library ieee; use ieee.std_logic_1164.all;...
Witam. Temat tu opisany raczej nie będzie konwencjonalny. Na wstępie poproszę o brak komentarzy w stylu "za dużo roboty" i "nie opłaca się" :D Chciałbym zbudować system wewnętrzny bramki pbx. Pod standardowy numer 101, chciałbym podłączyć główny domowy telefon, 103-109 resztę modemów (dzwoniąc pod 101 mógłbym rozmawiać z "domem" przez komputer). 102...
Witam szukam jakiegoś opisu na temat bramki samocentrującej (Mikroelektronika)- budowa i działanie
Budowa bramy jest dość skomplikowana ale w skrócie: otwiera się na ok 90stopni z czego pierwsze 15 samoczynnie, siły trzeba użyć aby ją domknąć i podobnie jest w drugą stronę. Jutro spróbuję podłączyć silnik na "ostro" tylko powiedzcie proszę do których pinów (podpisałem je na zdjęciu) mam podłączyć zasilanie a do których kondensator. Przemieniają które...
Panowie przede mną budowa bramy z własnej roboty automatem. Silnik wraz z przekładnia mam od Corolli, dlatego potrzebne sterowanie do niego. Oczywiście sterować chcę za pomocą pilota którego kupie na ali . Sam schemat lewo prawo nie jest mi obcy dołozenie dwoch krancowek to też nie wielki wyczyn lecz pierwszy raz bede miał do czynienia zrobienia tego...
Gdy nasza aplikacja potrzebuje potrzebuje tranzystora MOSFET o napięciu pracy większym niż 50 V lub też rezystancji kanału poniżej kilku miliomów musimy posłużyć się rozwiązaniem dyskretnym, gdyż nie istnieją scalone przełączniki tego rodzaju. W takiej sytuacji zaprojektować musimy nie tylko sam układ oparty o tranzystor FET, ale także odpowiedni sterownik,...
Witam zainteresowanych budową bramy automatycznej dwu skrzydłowej, w 2006r. kolega cici założył temat ,,Napęd bramy skrzydłowej domowej roboty za 30zł/skrzydło'' polecam zaglądnąć na forum ponieważ jest tam bardzo dużo info o tej właśnie konstrukcji. Osobiście posiadam już wykonany jeden siłownik za części dałem 10zł więc dla zmniejszenia kosztów radze...
Siłownik nie jest w stanie pokonać oporu wiatrów. Co dziwne nawet kiedy mu pomogę to po otwarciu wiatr z wolna zamyka ją, co jest niebezpieczne dla auta przy wjeżdżaniu. Czy jest jakaś możliwość wyregulowania tego. Centrala ZA3P? Cześć, dosyć dziwne objawy, ten napęd nadaje się do bramy o długości do 7m pod warunkiem że spełnia dopuszczalne parametry...
Samsung Foundry zorganizowało niedawno konferencję "Foundry Forum", na którym ujawniono niektóre szczegóły dotyczące planów rozwoju procesów półprzewodnikowych i rozbudowy fabryk Samsunga. Firma jest najbardziej agresywna w swoim sektorze w dążeniu do następnej generacji technologii tranzystorowej, z planami osiągnięcia masowej produkcji nowego procesu...
Witam. Zbliża sie wielkimi krokami koło z arch. komputerów a na nim jak w temacie trzeba bedzie tworzyć bramki z inncyh bramek. Podam przykłady: Mając do dyspozycji bramkę NAND zbudoj bramke OR. albo Mając do dyspozycji bramkę NAND zbuduj bramke NOR. albo Mając do dyspozycji bramki XOR i OR zbuduj bramke AND. :idea:...i teraz pytanie: czy istnieje(szybki)...
Witam Jakiś czas temu budując tani, prosty napęd do bramy dwuskrzydłowej napotkałem problem zasilania silników przy siłownikach. Procowały one na nieco mniejszym napięciu niż 12V DC. Każdy silnik wg pomiarów pobierał ok 60W. Oba silniki oraz lampa ostrzegawcza pobierały w przybliżeniu 140W. Transformator nawijałem samodzielnie mając jeszcze kilkanaście...
Tak na zachętę, by pokazać, że nie chodzi tu o nic wielkiego, no i oczywiście poza konkursem. Instalacja wideodomofonu jak wiele innych, oparta o monitor 70A i kamerę commax DRC 40KPT ( moja ulubiona ze względu na kąt widzenia). Furtka otwierana oczywiście z monitora i dodatkowo z czytnika Roger PRT12 i przycisku wyjścia. Otwieranie z przycisku wyjścia...
Witam Mam problem z bramą garażową który polega na tym, że podczas otwierania brama zatrzymuje się w losowo wybranym miejscu. Niestety brama jest już po gwarancji na której praktycznie była nieużywana (budowa), pod koniec okresu powyższy problem się pojawił więc wezwałem serwis, Panowie przyjechali poruszali coś tam pogrzebali w napędzie posmarowali...
Brama dwuskrzydłowa otwierana na pilot oraz dodatkowym przyciskiem na panelu do domofonu w domu. Warto też pomyśleć o równolegle podłączonym przycisku do otwierania bramy z garażu. wejście przez fundament do napędu.... Do centrali - to brama dwuskrzydłowa ;) Cytowanie selektywne - zaznacz tekst wiadomości i kliknij Na etapie okablowania dałbym po prostu...
Szanowni koledzy i koleżanki, szukam porady czym się kierować przy wyborze i następujących elementów układanki do nowo budowanego domu: 1. napęd do bramy przesuwnej, celuję w światło wjazdu ok 5.4mb plus przeciwwaga, wypełnienie profilami stalowymi 100x20mm, wysokość ok 165cm, waga nieznana, zakładam ok 400kg (?) Potrzebne funkcje: - otwieranie z pilota,...
Witam. Chciałem samodzielnie skonstruować antenkę zwiększającą zasięg pilota do bramy. Do budowy wykorzystałem rozwiązanie takie jak w antenie samochodowej (podstawka+pręt). Sam pręt był przerabiany bo oryginalny miał nawinięty spiralnie drucik. Teraz jest to zwykły drut stalowy. Jedyny problem to nie wiem odkąd mam mierzyć długość anteny? Juz od podstawki...
Po co? Szeregowce - kilka domków - każdy stanowi odrębną własność. Nie istnieje wspólnota na którą można byłoby "wziąć licznik ADM". Jak w takim przypadku zasilać bramę i rozliczać się za prąd? Nie żebym chciał to budować. Nie jest to rozwiązanie idealne, kol. retrofood zauważył, że należałoby wystąpić o odstępstwo od przepisów, aby je zrealizować....
Firma Lantronix zaprezentowała serię G520 bramek komórkowych opartych na układzie Arm9, w tym modele G526 Industrial (LTE Cat 4), G527 i G528 Security (LTE Cat 13, 5G, kryptografia + PoE) i G528 Transport (LTE Cat 4 i CAN). Prawie wszystkie produkty Lantronix, które dotychczas prezentowano, to moduły obliczeniowe oparte na układach Qualcomm i zestawy...
a może to kompletny przypadek? Przecież ta adresacja to kompletny przypadek. Po pierwsze masz adresy publiczne a po drugie jedna sieć zawiera się w drugiej, to jak to ma działać? Nie do końca, adress 1.1.1.4 i pozostałe, to adresy w sieci lokalnej (prywatnej), nie ja budowałem tą sieć i nwm dlaczego do budowy tej sieci użyto klasy A, jeżeli chodzi...
hej buduje brame odsuwana na pilota wiecie o co chodzi:D silnik wszystko mam (prawie) potrzebny mi jest opóźniacz załaczenia napiecia, chodzi mi o to zeby jak napiecie dochodzi do przekaźnika to żeby przekaźnik opóźniał przejście tego napiecia dalej o np. 30 s po wyłaczeniu zasilania przekaźnik się zeruje i znowu działa od nowa może macie jakieś inne...
Siłowniki dobre sam na nich mam bramę. 12V to dużo za mało,będą działac ale wolno. wpisz w wyszukiwarce haslo na elektrodzie też jest tego sporo. Budowa tego nie jest taka prosta jak by się zdawało.
Jeśli interesują Cię bramki logiczne to podrzucam Ci stronkę na której można budować różne układy z tych bramek. [url=]Link Tu jest chyba coś podobnego ale nie przeglądałem jeszcze tego: [url=]Link
Dziś zabrałem się do naprawy siłownika do bramy ASTER 40 S Post ten powstał z myślą że pomogę komuś w naprawie tego siłownika i że ktoś mi pomoże w dokończeniu naprawy. Po 16 miesiącach użytkowania tego "cuda"zmuszony jestem własnoręcznie zamykać i otwierać bramę. Kupując ten siłownik myślałem że skoro jest on produkcji włoskiej to będę miał obsługę...
Poszukuję informacji o zastosowaniu bramek logicznych w medycynie. Dokładnie chodzi mi o to w jakich układach one mogą występować i jakie będą miały zastosowanie w aparaturze medycznej. Wiem że na przykład występują w układach scalonych w sterownikach silników, ale poszukuję też innych przykładów. Od dawna nie buduje sie urządzeń w których bramki pełniły...
Witam, jestem na etapie wyboru napędu do bramy wjazdowej przesuwnej i jestem trochę w kropce. Do każdego z 3 instalatorów w okolicy do którego zadzwoniłem, każdy polecał inny napęd. Od Faac 740 230V, poprzez Nice Robus 600 24v po Somfy Elixo 24v. Generalnie większość polecała napędy 24V ze względu na płynną pracę. Wstępnie kieruję się ku Somfy, tylko...
Panowie i Panie, Sytuacja jest następująca. \w trakcie remontu wpadlem na pomysl wymiany domofonu na nowyszy, bo uznałem ze tamtem stary. Zupełpenie bez wiedzy w temacie kupiłem nowy unifon. Majster od budowy nie od domofonow podłączył i stwierdził że nie działa. Generalnie temat spadł na dalszy plan. Ale po dwoch latach bez domofonu czas go podłączyć....
Aktualizacja 12-09-2020 * Poprawiłem sekcje dotyczącą pomiarów w HolmImpulse na bardziej kanoniczną. * Połączyłem sekcje dotyczącą dodatkowych uwag dotyczących tweetera. * I jeszcze nowa sekcja dodatkowa dotycząca woofera i pułapek rezonansowych. * Kilka innych poprawek w starym tekście. W kilku słowach, w związku z moimi próbami w kategorii DIY audio,...
Drodzy Fachowcy i pasjonaci! Mam pytanie - kompletuję wlasnie laczniki i gniazdka do nowo budowanego domu i stoje przed dylematem. Nie posiadam jeszcze bramy przesuwnej ani furtki a chcialbym zakupic juz odpowiedni lacznik, ktory pozwoli mi w prosty sposob: 1. otworzyc - zastopowac - zamknac brame 2. puscic impuls na furtkę aby zwolnic zamek lub elektromagnes...
Witajcie, podobny watek założyłem w temacie bramowym ale najwyraźniej powinienem udać się tutaj :) Do sedna. Jestem na etapie przygotowań pod budowę ogrodzenia a wiec i podciągnięcia odpowiednich kabelków miedzy domem i bramą/furtką. Potrzebuje wideodomofon ktory umożliwi: 1. komunikacje audio/wideo miedzy furtką a domem 2. otwieranie bramy z monitora...
Dzień dobry, Stoję przed wyborem automatyki bramy. Stanęło na produktach jak w temacie. Brama dwuskrzydłowa, każde skrzydło 2m, słupki 80mm. Do tego mocno zastanawiamy się nad otwieraniem bramy na zewnątrz. Wiem, ze nie jest to zgodne z prawem. Mieszkamy jednak bardzo na uboczu, ruch w zasadzie zerowy, do tego kostka wychodzi na drogę na jakieś 3m (za...
Cześć, Jeżeli masz zworkę 2-C1 to zostaw fotki narazie w spokoju. Jeżeli chodzi mieć prawidłowe automatyczne zamykanie, musisz zacząć od prawidłowego ustawienia czasu pracy koguta ( op time lub TL). Zatrzymujesz brame w połowie, robisz reset zasilania po czym uruchamiasz bramę z pilota. Jeżeli jest wszystko dobrze podłączone to pierwszy ruch wykona...
Przycisk ma być zwierny NO. Krańcówki, no właśnie, na tym schemacie zastosowałem zwierne ale tak sobie teraz pomyślałem że gdyby uszkodziła się taka krańcówka albo połączenie z nią, to nie było by wówczas zapewnione zatrzymanie takiej bramy. Także zmienił bym to na rozwierne NC. Oczywiście wówczas przy takim uszkodzeniu, nie można będzie w ogóle uruchomić...
dzięki wielkie za schemat ale pozostaje problem z czego to się wzięło?? jaka jest metodologia budowanie takich układów?
Wielkie dzięki za zainteresowanie. Widzę że koledzy chcą mi pomóż zbudować sterownik z pierwszego zdarzenia :) Pewnie podjął bym się takiej budowy ale brakuje mi czasu. Mam tylko dwa tygodnie. Skrzydło umieszczone na ramie ruchomej, napędzana silnikiem. Wybór silnika: polecał bym mocny silnik krokowy, ze względu na dokładność. Silniki krokowe? chciałem...
Witam Mam następujący problem a mianowicie przy słonecznej pogodzie nie mogę otworzyć bramy. Słychać ze mechanizm chce ruszyć ale coś go blokuje. Fotokomórki stukają jak się zasłoni. Słońce świeci wtedy na jedna z fotokomórek (na tą po przeciwnej stronie silnika). Czy ktoś już miał podobny problem? Nawet gdy staram się zasłonić słońce to i tak się nie...
Pragnę zaprezentować napęd bramy przesuwnej własnej konstrukcji z wykorzystaniem modułu LOGO!. Zachęcony posiadaniem silnika z przekładnią (wymontowanego z maszyny przeznaczonej na złom) , przystąpiłem do budowy układu sterowania . Warunek jaki musiał spełniać, to kompatybilność z istniejącym napędem bramy garażowej HOME -CAME - jednym pilotem muszą...
Lepiej jest wydac 20 zl niz to budowac i programowac ale to jest moje zdanie
Witam, Mam jedno pytanie do forumowiczów. Na wiosnę planuję budowę nowego ogrodzenia z bramą dwuskrzydłową pod automat Faac 414. Jakie kable trzeba przeprowadzić do słupka? Chodzi tylko o przewody do automatu, plus fotokomórka oraz wideodomofon (bez sterowania ryglem w furtce), ale z możliwością sterowania bramy. Gdzieś w internecie znalazłem taki oto...
Jak najlepiej zabezpieczyć bramkę, aby nie można było jej otworzyć w przypadku gdy brama jest otwarta A dlaczego nie zabezpieczysz bramy przesuwnej, by przy otwartej furtce napęd nie ruszył? Może zapisałem troche zawile. Ale teraz już tak jest, czyli bramka otwarta napęd nie rusza. Chodzi mi o przypadek otwarta brama - brak działania elektrozaczepu,...
www.elektronika.qs.pl Tam duzo znajdzesz A tu masz budowy niektroch bramek
:sm1: nie anode do kondensatora 1 mikro ,,,, katode do dolnej sciezki do minusa palnika i kondensatora ,,,, a bramke do db3 :sm23:
o tym ze jest to mozliwe to ja wiem... Problem jest zupełnie w innym kontekście tzw. sprawy. Każdy instalator a także i administrator budynku , NIE chce dopuszczać innych osób (pilotów) do systemu otwierania bramy z podstawowych przyczyn. NIE każdy kto "chciałby" może sobie doprogramowywać inne piloty a i (jeżeli nawet mógłby) to jaka to korzyść dla...
Chciałem nieco przerobić posiadany kolorofon led a do przesterowania sygnału użyć bramki OR. Gdy dioda się zapala na wyjściu jest ok.~3-4V 20mA. Pod Vdd bramki mógłbym podłączyć 5V ze źródła i spokojnie zasilić led mocy prądem 350mA. Bramka ma opóźnienie rzędu 40ns więc chyba koncepcja się sprawdzi. Sprawy by nie było gdyby nie jeden szczegół. Niestety...
Witam. Kolego" yarpen86" jaszcze mi się nie trafiło aby klient Hormanna robił co roczne przeglądy i to przez kolejne 10 lat aby mieć gwarancję Hormanna.Z reguły kończy się na jednym przeglądzie. Ja piszę o gwarancji na zamontowane części prze zemnie i o moją gwarancję. Koledzy wychodzi taniej ,może odwleczone w czasie ale na koniec budowy ---KAŻDY WIE...
Większość laboratoriów zajmujących się kwantowym przetwarzaniem, stara się skonstruować idealną maszynę kwantową opartą o "model bramek" znany dzisiaj. Pozwoliłoby to na uzyskanie superszybkich maszyn, będących odpowiednikami dzisiejszych komputerów. Pracownicy tych laboratoriów sceptycznie patrzą na pracę Kanadyjskiej firmy D-Wave (o której pisano...
Po bramce analogowej przyszedł czas na bramkę cyfrową. Potrzebuje schematów domofonów (unifonów, kaset) systemów cyfrowych. Na Elektordzie znalazłem tylko systemy analogowe i jeden cyfrowy. Producenci oczywiście nie sa zainteresowani udostępnianiem schematów a kupienie kilku systemów po 500..1000zł nie wchodzi w grę. Liczę na pomoc Forumowiczów. Wystarczą...
Proces produkcji półprzewodników z bramkami dookólnymi (pokroju gate-all-around (GAA)), znany również jako technologia tranzystorów polowych typu GAA-FET, pokonuje ograniczenia wydajności techniki FinFET, zmniejszając poziom napięcia zasilania i zwielokrotniając sprawność układu, dzięki wzmocnieniu w możliwościach prądowych sterowania. Krótko mówiąc,...
Witam... DSP jest oczywiście panaceum, ale można się obejść bez niego w sposób klasyczny... To, że każdy pomysł ma wielu ojców to niezaprzeczalny fakt... W końcu potrzeba jest matką wynalazków. Z drugiej strony po co wyważać otwarte drzwi i zastanawiać się jak coś zrobić, skoro ktoś już przez to przeszedł... Trochę to trwało, bo najpierw kombinowałem...
Zwykle do mikrofonu pojemnościowego używa się tranzystora polowego (FET). Drobne pytanie: czy to jest mikrofon pojemnościowy, czy elektretowy? Bo pojemnościowy, żeby działał, potrzebuje napięcia polaryzującego, elektretowy nie. Spotyka się też często mikrofony z wbudowanym tranzystorem. Najprościej jest z elektretowym: rownolegle do niego duży opornik...
Witam ! Jeśli chodzi o zdalne sterowanie to są do nabycia gotowe modułu odbiorników w pamięci których zapisuje się piloty z którymi mają pracować. Chyba lepiej kupić coś takiego niż budować radiolinie samemu. Zobacz: Masz silnik na 220V, ale jaki ? Jest wiele rodzai silników, zmiana kierunku obrotów może być trudna. W takich napędach są silniki z podwójnym...
No nie miałem czasu prędzej, w załączniku jest bardzo przystępnie opracowany schemat ze wszystkimi połączeniami w spawarce oraz przełącznikami. Na pewno pomoże. Akrzy jak najbardziej się z tobą zgadzam to trafo ma jak dobrze pamiętam pełne napięcie na wtórnym 45V, i przypuszczam że podczas rozruchu tyrystory są w pełni otwarte. Przy trafie 3f na pewno...
Witam. Jeśli znasz działanie bramek to dobrze. Do czego służą? Buduje się na nich układy logiczne które dają na wyjściu odpowiedź zależną od stanu wejść. Np. chcesz zrobić prosty alarm z kontaktronami w oknach. Gdy okna są po zamykane to kontaktrony są zwarte i na wejścia bramki jest podane 1, a na wyjściu jest 0. Gdy otworzysz chociażby jedno okno...
Na razie bez QoS, jak już będzie działać, to będę dalej modził aż się zepsuje :) O IMQ pierwszy raz słyszę i muszę to obejrzeć. Natomiast jeżeli ktoś jest zainteresowany - znalazłem to: i dzisiaj w nocy będę próbował działać. edit: Już działa :) Nawet na 4 kartach. Wybrałem metodę nr 4. A tu jest przepis: - trzeba odpalić neostradę (o tym nie będę pisał...
Witam, mam taki oto problem: buduję układ zamykaniem/otwieraniem bramy przesuwnej i brakuje mi między innymi fotokomórek. Ponieważ napęd jest "dość" mocny (motoreduktor 0,37 kW, 39 Nm) więc chcę zapewnić maksymalne bezpieczeństwo przy pomocy fotokomórek po obu stronach bramy. Nie udało mi się znaleźć niczego w dobrej cenie, pewnego producenta i takiego....
Witam! Piszę z takim problem. Zakupiłem dom wyposażony w bramę elektryczną na pilota. Początkowo, napęd bramy (Bennica) był podpięty, kablem z bębna przedłużacza, wętkniętym w gniazdo w garażu. Ta prowizorka mi się nie podobała i poprosiłem elektryka, aby mi coś zaradził. Okazało się że w pobliżu bramy znajduje się przecięty przewód ziemny, od oświetlenia...
Nie pomyślałem, żeby odświeżyć dzierżawę. Więc ok, mam LAN IP 192.168.2.1. WAN ma się sam skonfigurować i to nawet chyba działa bo w status info mam WAN IP: 192.168.1.27. Brama domyślna też ok: 192.168.1.20. Czyli wszystko w porządku, ale jednak sieć nie działa, a Tenda konfiguruje połączenie z komputerem tak: IP: 192.168.2.2 maska: 255.255.255.0 brama...
Jak już wspomniałem wcześniej wspomaganie elektryczne renault clio III generacji koszt 50 zł na allegro od 50 do 300 zł. Widziałem już tą przekładnie współpracująca z bramą 400 kg, w takim przypadku transformator powinien mieć min 150 VA(u mnie jest 60 i jest ok). Jeszcze tylko taka uwaga dużo miejsca w wypowiedziach zabiera sprawa estetyki jak wspomniał...
Witam zwracam się do was z prośbą ponieważ nie jestem pewien czy układ który chcę zrobić będzie spełniał swoją funkcję a tranzystory nie będą się przegrzewać. Układ ma za zadanie sterowanie silnikiem 3-fazowym. Chcę mieć pewność że układ który zmontuje będzie działać ponieważ nie mam ochoty robić nowego zamówienia specjalnie dla 1 części a w miejscowość...
Też myślałem nad bramą przesuwaną, ale raczej nie wchodzi w grę bo. 1. Brama na zewnątrz istniejących słupów nie jest dobrym rozwiązaniem z wielu powodów. 2. Rozwiązanie zaproponowane przez Marecznego wymaga zburzenia słupa z prawej strony, a chciałbym tego uniknąć. Pozostaje więc szukanie korzystnego napędu do bramy skrzydłowej. Chodzi mi o doświadczenia...
Firma NXP wprowadziła do sprzedaży nowy, stworzony z myślą o zastosowaniu jako brama IoT, model komputera stacjonarnego typu UCFF o nazwie kodowej MGHW. Model SYS-A62-GW cechują m.in.: niewielkie gabaryty i modułowa budowa. Sercem komputera jest moduł SOM oparty o układ i.MX6UL firmy NXP integrujący w sobie, taktowany częstotliwością 528MHz procesor...
Zgadzam się z przedmówcą - prawdopodobieństwo uszkodzenia kwarcu jest znikome. Problemu szukałbym raczej w pilocie (słaba bateria, skorodowane styki) - on bardziej narażony jest na uszkodzenia. Częstotliwość kwarcu można łatwo określić budując generator na inweterach (np. 74HC04). Można też użyć 4060 lub 4521 - wtedy po podziale dostaje się częstotliwość...
Ja bym chyba robił nie na Arduino a na ESP8266. Ma wbudowane WiFi więc mniej kombinacji. O widzicie, o to właśnie mi chodziło. Ja się nie upieram aby robić to na Arduino. O Ardiuno wspomniałem ponieważ je posiadam ale to nie stanowi problemu aby zakupić coś innego. Czyli powiadacie aby zrobić na na ESP8266. Rozumiem że prócz ESP8266 oraz modułu nie...
Micre kupiłem na czas budowy domu. Teraz służy jako alarm + zdalnie otwiera brame :) Został dodatkowy wolny kanał/wejście - coś wymysle - może podwietlanie ogrodu ... Pozdr
Witam. Jestem na etapie montażu napędu bramy Came AXI 25. Napęd zakupiłem w sklepie internetowym i zdaję sobie sprawę, że serwis Came w przypadku usterki może jej nie uznać. Mam jedynie kartę gwarancyjną sklepu, który posiada autoryzację Came na okres 24 miesiący i jak mnie zapewniono e-mailem w przypadku awarii mam do nich wysłać uszkodzony element....
Drobna poprawka do schematów z #2 i #5. Ze względu na przeładowywanie kondensatora, wejście pierwszej (od lewej) bramki powinno być połączone przez rezystor. To forma zabezpieczenia przed przepływem prądu rozładowania przez diodę technologiczną na wejściu bramki. Nie ma tu ograniczeń co do rodzaju kondensatora, można użyć elektrolitycznego. Podczas...
Potrzebuję zbudować stabilne radio FM do auta przestrajane potencjometrem. Kiedyś właśnie tak robiono... poszukaj starych schematów. Był do tego specjalny układ scalony - przetwornica, która dawała bardzo stabilne napięcie około 30V. Jakby się udało częstotliwość pokazać na analogowym miliamperomierzu to by było ekstra. W tych układach stosowano potencjometr...
Na chwilę obecną mam aplikacje jako licznik w telefonie ktora myślę że działa tak samo. Problem pojawia sie gdy jadę przy ścianie lasu. Dodatkowo chcialem jeszcze obrotomierz silnika lub wałka WOM bedzie to pomocne przy rozsiewaniu nawozow. W planowanej skrzynce miał byc jeszcze przełącznik do poziomowania belki opryskiwacza ktory zaczalem budowac na...
Niestety monolitycznej wylewki już nie będzie. Są już przygotowane pecki w ilości 9 z czego 2 są duże, przygotowane pod bramę. Pomijając już kwestie posadowienia, rozmawiałem już z jedna ekipą i jest to dla nich ok. Moje drugie pytanie - chciałbym wykończyć garaż płytami OSB a na nie dać ocieplenie. Czy jest w ogóle sens? Ocieplić planowałem styropianem,...
Faktycznie nie wziąłem pod uwagę tak oczywistej rzeczy jak zmienność napięcia sieci +-10%. Czyli rozsądnie było by wykorzystać jakieś IGBT o U=1,2kV. Tutaj nasuwa mi sie kolejne pytanie na które szukałem ale nie znalazłem odpowiedzi do końca - dobór drivera do tranzystora IGBT. Ważnym jest by driver zapewniał odpowiednią wydajność prądową by jak najszybciej...