REKLAMA

czestotliwosc analog

Znaleziono około 493 wyników dla: czestotliwosc analog
  • radio cyfrowe a analogowe

    serdeczne dzieki ...jestem madrzejszy ...;-)...czyli tak ...kupujac radio czy tez cyfrowe czy tez analogowe sprowadza sie to do jednego i tego samego ...z tym ze "cyfrowe" sa cool...;-) chodzi mi o odbiorniki sprzedawane w roznych sklepikach marketach itp ...pisze radio marki "." a nic wiecej ....tylko 3 przyciski ...no moze 4 reset scan glos i on/off...

    Inne Serwis   02 Sty 2006 21:34 Odpowiedzi: 4    Wyświetleń: 27141
  • REKLAMA
  • Analog -> cyfra. Matlab, Simulink. Układ pokazujący widmo sygnału analogowego

    Zagladam, zagladam, tylko... brak natchnienia przez ostatni tydzien. Co do FFT w konsoli... własnie w tym jest problem, że trzeba przeskalowac os czestotliwosci... Matlab wyrysuje prążki, ale liczy sobie od 0 i ma sie to nijak do czestotliwosci. Dlatego wlasnie lepiej robic w PowerGUI, bo tam sie podaje Fundamental freq i matlab sam 'umiejscowi' prążki...

    Elektro Maszyny i Urządzenia   15 Kwi 2005 09:15 Odpowiedzi: 7    Wyświetleń: 2081
  • Konwerter analogowo cyfrowy do przetwarzania sygnału wibracyjnego

    Witam, Czy ktoś może doradzić konwerter analogowo cyfrowy, do którego dochodziłby sygnał analogowy o napieciu od 0 do 10 V,sygnał jest sterowany mocą i częstotliwością wibracji. Chciałbym sygnał badać przez program matlab. Wiem, ze wystarczy mi konwerter 10- bitowy, o czasie probkowania max 1kHz. Czy ktoś może mi poradzić jakis konwerter i mam pytanie...

    DSP i Transmisja   21 Sty 2012 15:33 Odpowiedzi: 6    Wyświetleń: 1996
  • REKLAMA
  • Telewizja analogowa. Tuner cyfrowy ustawiony na Hotbird-a.

    Witam mam pytanie jesli korzystam z tunera cyfrowego ustawionego na Hotbird moge zamienic go analogiem i bedzie chodzil bez problemu?? Przede wszystkim chodzi mi o tvn. Slyszalem jeszcze ze kanal TVN ma teraz nowa czestotliwosc, czy takze mozna ogladac go na zwyklym analogu za darmo? Pozdrawiam serdecznie

    SAT Serwis   23 Sie 2006 02:32 Odpowiedzi: 7    Wyświetleń: 2049
  • Analogowo-cyfrowo-analogowy system sterowania chłodzeniem

    W zalaczniku masz schemacik. Wada tego ukladu jest fakt, ze przy braku pobudzenia (czyli np. sygnal z LPT nie dojdzie) wiatrak stoi w miejscu co jest niekorzystne. Mozna by dac tranzystor p-kanalowy i odwrotnie sterowac. Wtedy w razie braku polaczenia z LPT wiatrak mialby max. obroty. Ty sam musisz programowo wytworzyc przebieg PWM. Musisz sobie dopasowac...

    Początkujący Elektronicy   13 Kwi 2008 02:09 Odpowiedzi: 6    Wyświetleń: 1574
  • REKLAMA
  • Przesuniecie fazy na sygn. analogowym. f=58kHz pasmo 20kHz

    Witajcie Czy macie moze jakis gotowy pomysl na hardware ktory potrafil by przesunac w fazie sygnal analogowy? Czestotliwosc srodkowa to 58kHz a pasmo od 48kHz do 68kHz. Chodzi o to aby mozna bylo albo plynnie albo krokowo zmienic faze sygnalu w zakresie od 0 do 360 stopni (ewentualnie od 0 do 180 jesli to ulatwi sprawe). grzebalem troche w internecie...

    Projektowanie Układów   21 Wrz 2007 10:41 Odpowiedzi: 1    Wyświetleń: 935
  • Wskaznik do wykrywacza, przetwornica czestotliwosc-napiecie

    Witam! mam wykrywacz bfo i chcialbym w nim zastosowac analogowy wskaznik napiecia ktory by wskazywal kiedy w zasiegu cewki znajdzie sie metalowy przedmiot. nie wiem gdzie go podpiac. ogladajac schemat blokowy wykrywacza prospector, zauwazylem przetwornik czestotliwosc- napiecie do kturego byl podlaczony wskaznik. jak taki przetwornik wykonac? podlaczylbym...

    Projektowanie Układów   26 Sty 2009 10:58 Odpowiedzi: 0    Wyświetleń: 949
  • Analogowo-cyfrowy analizator widma.!

    Witam. Mam takie pytanie, jaki algorytm sluzy do wyodrebniania poszczegolnych czestotliwosci z widma sygnalu? Nie chodzi mi o konkretny przyklad np w C ale o sam algorytm. Pozdrawiam

    DIY Konstrukcje   17 Mar 2009 19:11 Odpowiedzi: 37    Wyświetleń: 18185
  • [M8/t2313]mikrofon. Obliczanie czestotliwosci.

    No to masz dwa sposoby: analogowy i cyfrowy. 1. Analogowy: mikrofon, wzmacniacz operacyjny, linia transmisyjna, wzmacniacz operacyjny, głośnik. 2. Cyfrowy: mikrofon, wzmacniacz operacyjny, filtr antyaliasingowy, driver przetwornika, przetwornik A/C, procesor odczytujący strumień danych z przetwornika i przesyłający go dalej poprzez medium transmisyjne...

    Mikrokontrolery AVR   17 Sie 2010 10:43 Odpowiedzi: 6    Wyświetleń: 1185
  • Dziwne zachowanie tunera analogowego...

    Programy MTV i DSF sa na najwyzszych czestotliwosciach (>1600MHz). U mnie w tunerze wystepowaly poziome pasy na obrazie, tez tylko na wyzszych kanalach. Problemem byly kondensatory na linii dochodzacej do pinu 5 glowicy.

    SAT Serwis   08 Gru 2003 08:18 Odpowiedzi: 17    Wyświetleń: 2242
  • AT89C2051 - wejscie pradowe czy czestotliwosciowe ?

    Czesc, Zalozmy ze buduje uklad sterowania oparty na AT89C2051. Glownym elementem ukladu jest przeplywomierz turbinowy, ktory moze podawac sygnal wyjsciowy w postaci sygnalu pradowego w zakresie 4-20 mA, lub sygnalu czestotliwosciowego 0-10 kHz. Teraz pytanie, ktory sygnal latwiej "zarejestrowac" procesorem ? Przypuszczam ze w wypadku analogowego sygnalu...

    Mikrokontrolery   19 Mar 2004 22:36 Odpowiedzi: 9    Wyświetleń: 1601
  • REKLAMA
  • Termostat analogowy. Wyjście płynnie regulujące moc.

    freddy: nie ignoruj mariusza ch. - jako jeden z niewielu wie o czym mowi do tematu: przejscie przez zero: komparator zasilany z +-VCC z poziomem odniesienia ustawionym na 0 V zasilany z malego transformatorka sieciowego i standardowego mostku greatza niewyprostowany sygnal przez dzielnik rezystancyjny dostarczony do drugiego wejscia tego komparatora...

    Mikrokontrolery   16 Gru 2004 17:38 Odpowiedzi: 35    Wyświetleń: 5390
  • Detektor czestotliwosci na mikroprocesorze

    Witam Musze zaprojektowac uklad, ktory bedzie wyzwalany sygnalem o czestotliwosci wyzszej niz np 30kHz. A dokladniej wszystkim co bedzie mialo wieksza czestotliwosc niz np 30kHz. Oczywiscie mozna to szybko zrobic w analogu ( filtr HP, aktywny prostownik, komparator z jakas histereza) ale chodzi o miejsce. Pytanie zasadnicze: Czy jest mozliwe zrobic...

    DSP i Transmisja   30 Cze 2006 12:16 Odpowiedzi: 2    Wyświetleń: 1596
  • Skaner analogowy sublime Jak zwiększyć zasięg, selektywność?

    Rozszerzenie mozliwosci odsluchu = zmiana czestotliwosci => przmiana czestotliwosci. Czyli tylko ratują Cię mieszacze na dane pasmo. Zwiększenie możliwosci odsluchu... wzmacniacz "odbioru" na dane pasmo.

    Radiotechnika Serwis   24 Paź 2006 23:01 Odpowiedzi: 1    Wyświetleń: 1066
  • ADC w Atmega128 - szumy, konwersja sygnału analogowego.

    Próbkowanie mam co 10ms a uklad ten ma badac sygnaly o czestotliwosci nie wiekszej niz 10 Hz. jesli chodzi o rozklad tyh "szumow" to pojawiaja sie one zawsze przy tych samych wartosciach napiec wejsciowych i tak jezeli na wejscie ktoregos z kanalow podam sygnal od 0 do 5V to pierwsze te "szumy pojawiaja sie przy napieciu 1V drugie przy 2V trzecie przy...

    Mikrokontrolery   02 Kwi 2008 17:53 Odpowiedzi: 11    Wyświetleń: 2053
  • Sterowanie sekwencyjne, analogowe.

    Witam Mam nadzieje ze to wlasciwy dzial. Potrzebuje wykonac sterowanie sekwencyjne trzema odbiornikami, np przekazniki, tranzystory. Sterowanie powinno przebiegac w cyklu:po nacisnieciu przycisku zalacz, zalaczenie odbiornika 1 i 2 z mozliwoscia osobnej regulacji czasu zalaczenia poszczegolnych odbiornikow, po wylaczeniu obu zalaczenie odbiornika 3...

    Początkujący Naprawy   19 Sty 2010 20:52 Odpowiedzi: 2    Wyświetleń: 1746
  • [DSPIC30F][C] 10-bit ADC, czestotliwosc probkowania

    Witam forumowiczów, Od dłuższego czasu próbuję zrealizować za pomocą C na DSPIC30F2010 próbkowanie sygnałów analogowych. Na chwilę obecną moje próbkowanie działa, poza jednym wyjątkiem- mianowicie nie potrafię dokładnie dobrać parametrów ustawień rejestrów, aby otrzymać częstotliwość równą dokładnie 10kHz. Przerobiłem gruntownie datasheeta i FRM nie...

    Mikrokontrolery PIC   12 Lis 2010 18:16 Odpowiedzi: 5    Wyświetleń: 1483
  • ATmega (Arduino) Dzielnik pradu na wejsciu analogowym

    Odczytujac zmiany napiecia jestem w stanie wygenerowac sobie przebieg fali. Prad na wejsciu analogowym bedzie sie zmienial, zaleznie od mocy wyjsciowej ze wzmacniacza np. 7000W przy 14V i wtedy jak to zmierzyc? Edit: Pomiar bedzie na jednej wybranej czestotliwosci. Moze troche chaotycznie to napisalem, ogolnie potrzebuje wylacznie napiecie, przynajmniej...

    Początkujący Elektronicy   29 Gru 2014 19:20 Odpowiedzi: 2    Wyświetleń: 909
  • karta muzyczna wejście analogowe

    Szybkosc kompa nie ma nic wspolnego z czestotliwoscia probkowania karty muzycznej ktora wynosi zawsze okolo 44 kHz.Biorac pod uwage praktyke da sie tym sprobkowac sygnal o czestotliwosci maksymalnej okolo 10kHz.

    Programowanie   17 Lis 2004 21:55 Odpowiedzi: 18    Wyświetleń: 3168
  • Analogowe i cyfrowe nadawanie radiowe

    to pokazuje jak dzialaja sterowniki do silnikow, ale to jest proste (wolne), pytanie chyba bylo jak przez waski kanal przepchac sygnal video ? zalezy jakie to maja byc sygnaly alnalogowe (czestotliwosc) jezeli niska (kHz) lub bardzo niska (temperatura, odleglosc, oswietlenie) to taki tor cyfrowy spokojnie wystarczy (a daje dodatkowe mozliwosci, no i...

    Automatyka Przemysłowa   29 Sie 2005 21:50 Odpowiedzi: 20    Wyświetleń: 3841
  • PWM, generacja w AVR? Metody generacji analogowej, cyfrowej?

    Proces modulacji PWM polega na porównaniu sygnału modulujacego (nosnego) Sn z sygnałem modulowanym Sm. Sa stosowane dwa rodzaje sygnałów nosnych, sygnał trójkatny i piłokształtny. Modulacje sygnałem piłokształtnym nazywamy jednostronna, poniewa jedno zbocze sygnału sterujacego ma zawsze ustalona pozycje wzgledem sygnału modulujacego, a drugie zbocze...

    Początkujący Elektronicy   20 Lut 2007 14:46 Odpowiedzi: 2    Wyświetleń: 1080
  • miernik RPM i/lub termometr na IW-18 / analogowy miernik RPM

    niedługo trwały poszukiwania, mam takie cuś: Pogrzebałem trochę i do tego układu potrzeba TC9401CPD, czy to coś archaicznego, czy nie? jeśli dobrze mi się wydaje, to maksymalną częstotliwość dzieląc przez 2 otrzymamy maksymalną prędkość wentylatora - 4500RPM. Starczy spokojnie na nawet najbardziej upierdliwe wiatraczki, zastanawiam się tylko czy zasilanie...

    Początkujący Elektronicy   19 Lis 2009 18:54 Odpowiedzi: 11    Wyświetleń: 3086
  • Rozdzielenie zasilania część analogowej i cyfrowej

    ... W miarę możliwości proszę o wyjaśnienie dlaczego w tym punkcie masy mają się łączyć? Na stronie angielskiej prowadzonej przez jakiegoś "dziadka" (jakiś specjalista od EMI) wyczytałem, że masy mają się łączyć w jednym punkcie i połączenie to ma tworzyć topologię gwiazdy. Punkt połączenia zalecano wybrać gdzieś w okolicach wejścia zasilania o ile...

    Początkujący Elektronicy   02 Sie 2011 17:13 Odpowiedzi: 23    Wyświetleń: 6988
  • Cyfrowy/analogowy, jaki oscyloskop kupić?

    Nie zdawalem sobie sprawy ze roznice nadal sa tak widoczne. Zakladalem ze czestotliwosc probkowania jest na tyle wysoka ze nie ma wiekszych roznic pomiedzy prezentowanymi wynikami. Widze ze na ebayu rzeczywiscie sa oscyloskopy analogowe TEKTRONIXa 100MHz za $100-$400. Jest sporo modeli. Na studiach mialem stycznosc z analogowo-cyfrowym. Nie pamietam...

    Warsztat co kupić?   14 Wrz 2015 15:11 Odpowiedzi: 18    Wyświetleń: 14763
  • Programowanie Matlab - rozpoznawanie tonów sygnalizacji w telefonii analogowej

    Możesz wykorzystać do tego celu szybką transformatę Fouriera w celu wyznaczenia częstotliwości wchodzących w skład badanego sygnału, a następnie porównujesz widmo częstotliwości występujących w sygnale z częstotliwościami składowymi danego kodu DTMF ( Dodano po 17 Znalazłem swój stary program z przetwarzania sygnałów:

    Programowanie Początkujący   22 Sty 2012 01:14 Odpowiedzi: 2    Wyświetleń: 1482
  • Uniden EZI 33 XLT - jakie ciekawe czestotliwosci dla miasta Biała Podlaska

    Ale jak masz taki skaner to sam on znajdzie szybko co potrzeba. O ile te "częstotliwości" co Cie interesują pracują w analogu... W necie znajdziesz co i na jakiej częstotliwości. Poszukaj.

    Radiotechnika Początkujący   05 Lis 2020 00:55 Odpowiedzi: 2    Wyświetleń: 528
  • Digital To Analog Converter....... jak od podstaw?

    hello ja bym tylko chcial wiedziec czy te 2 scalaki da sie spiac tzn ta yamahe i tego tda... bo jezeli nie i trzeba stosowac cs to sobie daruje na razie za 60pln bo tyle kosztuje cirrus to ja sobie moge inne ciekawe rzeczy poskladac;) tymbardziej ze wczoraj mialem fuksa i rozbroilem chyba ze 6 telewizorow i kilka radii tzn wytargalem z nich lampy i...

    Audio Serwis   18 Lis 2005 20:04 Odpowiedzi: 55    Wyświetleń: 10413
  • [ATmega128][C] - Analogowy komparator - przelaczanie

    Chce wyznaczyć czas ładowania kondensatora w obowodzie RC pomiędzy punktami 0.25RC i 0.5RC. Atmega128 ma takie cos ze jedna z koncowek (ain1) mozna przelaczac jezeli adc jest wylaczony, na ktorys z portow analogowych i moj pomysl polega na tym ze na dwa rozne kanaly portu analgowego sa podpiete napiecia z dzielnikow odpowiadajace 0.25RC i 0.5Rc przy...

    Mikrokontrolery AVR   15 Sty 2013 00:34 Odpowiedzi: 0    Wyświetleń: 1308
  • Zasada transmisji analogowej i cyfrowej...

    Witam... Transmisje cyfrowa mozna podzielic na synchroniczna i asynchroniczna jak i szeregowa i rownolegla. Transmisja synchroniczna to taka gdzie oprocz lini danych wystepuje takze linia zegara, sygnal z lini danych jest wowczas zczytywany tylko w momencie sygnalu zegarowego, odbiornik moze wowczas reagowac na zbocze opadajace lub narastajace lini...

    Początkujący Elektronicy   13 Lis 2004 14:02 Odpowiedzi: 13    Wyświetleń: 1797
  • PACE MSS 100 - nie zgadza sie czestotliwosc ;-(

    nic już nie wymyślisz....TV4 przestał nadawać w analogu

    SAT Serwis   01 Maj 2005 14:58 Odpowiedzi: 5    Wyświetleń: 956
  • kamera na 1,2ghz i odbieranie za pomoca analoga??

    Witam natknelem sie gdzies na allegro ze obraz z kamery na 1,2ghz lub 1,3ghz mzna odbierac na zwyklym dekoderze niby to mozliwe bo 1,2ghz to 1200mhz a w analogach mozna ustawic taka czestotliwosc ale jestem ciekaw: 1.Czy sygnal z kamery jest nadawany w tym samym sytemie itp..? 2.Czy juz ktos odbieral sygnal z kamery na zwyklym tunerze ? 3.Najwazniejsze...

    SAT Serwis   10 Paź 2006 00:00 Odpowiedzi: 6    Wyświetleń: 2354
  • Analog indukcyjności uziemionej [POMOCY]

    Zyrator tylko "odwraca" impedancje (pojemnosc w indukcyjnosc i vice versa). I jako taki mozesz go uzyc go do swojego celu. Do zastepowania cewek uziemionych z jednej strony dla NISKICH czestotliwosci jest dosc czesto stosowany podrecznikowy uklad na dwoch wzmacniaczach operacyjnych (+ 4rezystory i kondensator), gdyz on rowniez wymaga by jedna koncowke...

    Początkujący Elektronicy   30 Gru 2006 15:16 Odpowiedzi: 3    Wyświetleń: 2600
  • bt869 i 819. Zmiana sygnału z wyjścia na analogowy RGB.

    Tylko w jaki sposób uzyskac osbno sygnały synchronizacji odchylania poziomego i pionowego dla monitora? Edit: No to chyba tak się nie będzie dało Po włączeniu przeplotu monitor LCD LG 1919S poprostu się wyłączył.Przy tak niskich czestotliwosciach odświerzaniach poziomego monitor się nie włączy więc ten pomysł raczej odpada.

    Elementy Elektroniczne - zamienniki i identyfikacja   21 Lut 2007 21:53 Odpowiedzi: 3    Wyświetleń: 1054
  • Ez-kit AD21061, czestotliwosc probkowania codeca 1847

    Witaj, Próbowałeś zmieniać wartość w rejestrze inicjalizacji 1847? Rzuć okiem na EE-9 SHARC-To-AD1847 EZ-LAB Loopback Example (In C) na www.analog.com To jest w C ale powinno byc pomocne. No i datasheet od AD1847 tez sie przyda. Powodzenia.

    DSP i Transmisja   17 Maj 2007 18:31 Odpowiedzi: 1    Wyświetleń: 897
  • lpc2148 i przetwornik cyfrowo analogowy

    a jaka chcesz miec czestotliwosc tego sinusa? bo to jest kluczowa sprawa. maksymalna czestotliwosc przetwornika wynoszaca 1us jest dosyc wysrubowanym wymaganiem [; 4\/3!!

    Mikrokontrolery ARM   26 Lis 2008 12:45 Odpowiedzi: 7    Wyświetleń: 1562
  • Pytania egzaminacyjne z elektroniki analogowej

    4 a) wlacznik otwarty => Ku = 2,5 b) wlacznik zamkniety => Ku = 17,5 5 a) wlacznik zamkniety -> ten sam sygnal idzie przez rezystor X oraz do dodatniego wejscia op amp'a. czyli wzmocnienie jakie otrzymalem to Ku = -1 b) wlacznik otwarty -> Ku = -1 ale co z dc oraz ac w obu przypadkach??? czy dla obu bedzie to samo? Dodano po 32 W zad 6 masz okrasić...

    Nauka Elektroniki, Teoria i Laborki   19 Kwi 2009 11:05 Odpowiedzi: 14    Wyświetleń: 2728
  • Dzielnik czestotliwosci zegara przez 6 na przerzutnikach typu D

    Początkowo pomyślałem tak dzielnik czestotliwosci przez 6, czyli w sumie dzielnik mod6. i dobrze Niedopuszczalne jest rozwiązanie przez negację sygnału wyjściowego A ty niczego nie negujesz. Wykładowcy chodziło raczej o wstawianie dodatkowych negatorów, co spowodowałoby, że sygnał trafi szybciej do kolejnego przerzutnika, niż na wejście obecnego. Następnie...

    Początkujący Naprawy   08 Cze 2012 16:05 Odpowiedzi: 4    Wyświetleń: 3186
  • Nowy NI Multisim Analog Devices Edition do pobrania

    Uzywam Multisim i Ultiboard od okolo szesciu lat. Oba nadaja sie tylko do prostych zadan. Praca na bardziej skomplikowanych ukladach, a zwlaszcza symulacja, to juz masochizm. Nie tylko sam symulator sobie nie radzi ( np aktywny prostownik przy wysokich czestotliwosciach, lub po prostu podaje niepradziwe wartosci ) , ale nawet wyniki analiz sa prezentowane...

    Newsy   11 Lip 2012 11:11 Odpowiedzi: 8    Wyświetleń: 9987
  • Czestotliwosc w Legionowie

    Legionowo chodzi nadal analogowo, ale za to w trunkingu EDACS (jak większość podwarszawskich miejscowości), więc na prostszych skanerach o słuchaniu można zapomnieć. Potrzebny będzie np. jakiś Uniden z serii TrunkTracker.

    Radiotechnika Początkujący   03 Gru 2012 10:57 Odpowiedzi: 2    Wyświetleń: 1995
  • [STM32] - Zmiana stanu pinu z czestotliwoscia 1MHz

    Zastanawia mnie dlaczego na st cm3 to dziala gorzej Bo napisałeś bzdurny kod korzystający z "|=" i "&=~" co zostało już wytknięte z 10x. A jest bzdurny dlatego, że "tak się nie robi i już". I dlatego zadalem pytanie w moim pierwszym poscie. Dopiero po 30 dostalem wytlumaczenie dlaczego powinno sie robic tak, a nie inaczej Jasne , a ty nalezysz do osob,...

    Mikrokontrolery ARM   12 Kwi 2014 14:31 Odpowiedzi: 39    Wyświetleń: 5199
  • Jaka czestotliwosc jest optymalna dla PWM?

    tmf - To co, że ogranicza szybkość narastania prądu - ogranicza również szybkość opadania i prąd silnika jest zbliżony do prądu stałego (a nie pulsującego). Gdybyś chciał bardzo gwałtownie zmieniać moment - mogła by trochę przeszkadzać. Ograniczyła by szybkość zmian momentu ale na pewno nie ograniczyła by momentu maksymalnego. Jeżeli chcemy zmieniać...

    Mikrokontrolery AVR   25 Gru 2015 22:35 Odpowiedzi: 21    Wyświetleń: 4554
  • Lenze E82EV751_4B regulacja predkosci przy czestotliwosciach ustalonych

    Stałe prędkości załączasz przez wejście cyfrowe (lub po komunikacji). Jeżeli nie jest załączona żadna z nich to domyślnie sygnałem zadanym jest wejście analogowe. Nie do końca rozumiem jakie działanie jest potrzebne? Czemu mają zostać przekonfigurowywane stałe prędkości? Jak nie potrzebujemy stałej prędkości to jedziemy wg potencjometru (nie podajemy...

    Automatyka Falowniki   10 Maj 2018 20:29 Odpowiedzi: 3    Wyświetleń: 672
  • Nadawanie i odbieranie na innych czestotliwosciach - radio taxi

    Napisz co to za system- analog, cyfra? Łączność jedynie foniczna czy idzie po tym jakaś transmisja np. na dodatkowe terminale?

    Radiotechnika Początkujący   31 Maj 2021 15:43 Odpowiedzi: 14    Wyświetleń: 1053
  • filtry czestotliwosci na TL072

    Poszukaj lekcji z układów analogowych, tam napewno było - trzeba było niespać :) Lub na stronie elektroniki analogowej =>>>

    Audio Serwis   26 Sty 2004 22:38 Odpowiedzi: 7    Wyświetleń: 1092
  • Analogwy licznik km --> cyfrowy.To mozliwe?

    A moze jakis dzielnik czestotliwosci i po prostu licznik rowerowy?

    Samochody Archiwum   26 Lip 2004 18:15 Odpowiedzi: 15    Wyświetleń: 4233
  • skaner czestotliwosci i telefon - tak łatwo?

    Niestety (a może i stety dla słuchających) jest tak łatwo. W okolicy 400 MHz działają radiolinie TPSA (dzięki!). Nie pomoże Ci nawet najwspanialszy telefon bo na tej częstocie i tak TPSA nadaje analogowo. Telefony z tzw matką podsłuchuje się także bez najmniejszych problemów, najlepiej te na 46 czy 900MHz. I dopiero prawdopodobnie (nie jestem pewien...

    Radiotechnika Serwis   22 Lip 2011 12:08 Odpowiedzi: 19    Wyświetleń: 23010
  • Gitara - jak zbudować octaver analogowy?

    noto ja wam podam przepis na oktawer analogowy :) niewiem czy tak bylo to robione w analogowych oktawerach ale oto biega, nie jest to oczywiscie jedyny sposob. gdzies w edw byl taki prymitywny oktawer/zmieniacz glosu o jakosci telefonicznej a oktawer mozna zrobic np tez tak uzyskac sygnal ssb (z audio ) na jakiejs czestotliwosci mozliwej do filtracji...

    Projektowanie Układów   11 Sie 2010 06:38 Odpowiedzi: 36    Wyświetleń: 11980
  • Zadanie z ukł. Analogowych PROSZĘ O POMOC!!!

    Nie wiem czy do dobrego tematu wpisuje ale prosze o pomoc w rozwiazaniu nastepujacego zadania jesli ktos moze:):) Do ilu dB zmniejszyc wzmocnienie wzmacniacza wynoszace ku 32dB zeby czestotliwosc gorna graniczna fg=1,5 MHz wzrosla 2-krotnie Uwaga nalezy zamienic dB na V/V I jeszcze jak brzmi twierdzenie o stalosci pola Prosze bardzo o pomoc z gory bardzo...

    Początkujący Elektronicy   21 Lut 2005 16:06 Odpowiedzi: 6    Wyświetleń: 893
  • Dekoder analogowy Hirschman, uszkodzona głowica

    moze na pilocie byl dostep do jakiegos menu moze byla tam mozliwosc przestawienia czestotliwosci konwertera. programy sa napewno jeszcze ustawione po poprzednim wlascicielu wiec lepiej nie ruszac bo jak skasujesz to juz mozesz... ewentualnie tylko na jednej czestoliwosci szukac? czy korzystasz z dobrze ustawionego talerza?

    SAT Serwis   04 Kwi 2005 21:50 Odpowiedzi: 14    Wyświetleń: 2505
  • Analogowy Miernik Częstotliwości !(!!)

    Analogowy miernik czestotliwosci najprosciej mozna zrobic podłączajac do woltomierza jakis przetwornik F/U i odpowiednio go wyskalowac :) np LM331 - w nocie katalogowej są przykładowe aplikacje

    Początkujący Elektronicy   15 Maj 2005 00:37 Odpowiedzi: 6    Wyświetleń: 2662
  • SQ100LT Ferguson . Prośba o ustawienia na TVN-SAT-analog

    bardzo Ci dziekuje za podpowiedz. Z tym sobie w zasadzie poradzilem. Ale nie moge odszukac TVN . Myslalem , ze moze ktos korzysta z takiego tunerka w tej chwili i moze podac ustawienia konkretnie na TVN. Niby nie powinno byc z tym problemu. Ustawilem na Hotbirda i przeskanowalem. Niestety bez rezultatu. Odkrylem natomiast , ze jest duzo zaleznosci w...

    SAT Serwis   08 Lis 2005 15:56 Odpowiedzi: 3    Wyświetleń: 2563
  • PIC jako multiplekser analogowy - jaki wybrać?

    A ja tam bym sie pokusil o zrobienie tego na uC. Generowanie sygnalu analogowego przez PWM jest dosc skuteczne. Ja w ten sposob generowalem dzwiek w radiotelefonie. Zrobilem stosowne pomiary i wyniki byly zadowalajace. Na wyjsciu PWM dajesz filtr - w zaleznosci od Twoich potrzeb i wszystko. Tylko tu bedzie kwestia tego, jakie zakladasz czestotliwosci...

    Mikrokontrolery   09 Lis 2005 11:48 Odpowiedzi: 8    Wyświetleń: 1691
  • Uklad analogowy do przelaczania napiecia.

    Witam Chcialbym troszke pocwiczyc uklady z zastosowaniem PIC'ow.Chcialbym zbudowac zasilacz gdzie ukladem kontroli napiecia lub pradu (stabilizatorem) bedzie mikrokontroler. Na podstawie danych odczytanych z przetwornika AC mikrokontrolera programik bedzie wlaczal lub wylaczal jakis unipolarny tranzystorek mocy.Mysle o stabilizacji napiecia do 50 V...

    Automatyka Przemysłowa   14 Lut 2006 11:55 Odpowiedzi: 1    Wyświetleń: 1422
  • Analogowy układ mnożący. Na wzmacniaczach logarytmujących.

    po dodaniu zasilania napiecie na tym dolnym wzrosło proporcjonalnie dwa razy, a poza tym nic sie nie zmieniło, nawet wstawiłem wirtualny opamp, a nie konkretnie ten i dalej jest to samo... czy dobrze rozumiem - to co ty podałes jest układem wejsciowym potrzebnym mi do działania mojego układu w 4 cwiartkach? a to drugie to układ wyjsciowy potrzebny do...

    Początkujący Elektronicy   08 Sty 2007 10:53 Odpowiedzi: 17    Wyświetleń: 7633
  • Praca w Analog Devices

    Witam ponownie. W zwiazku ze sporym zainteresowaniem tematem, postanowilem napisac cos wiecej. Jestem studentem 5-go roku i dostalem sie tutaj na praktyke poprzez oferte wspolpracy pomiedzy Politechnika Wroclawska a AD. Zebrali nas, przesluchali i czesc zostala zaaprobowana. Pisze to po to, ze mam mgliste pojecie o sposobie 'zwyklej' rekrutacji. Oto...

    Ogłoszenia Elektronika   27 Sie 2006 21:35 Odpowiedzi: 3    Wyświetleń: 2451
  • Czestotliwosci w Mielcu z zakresu 50-179MHz.

    Najlepiej włączyć skaner i słuchać :) Hehe... tak, tylko ze ze skanerem analogowym to troche trudniejsze zadanie... ;) Moze ktos jeszcze cos doda bo w tamtej bazie niema Mielca :(

    Radiotechnika Serwis   31 Sie 2006 11:55 Odpowiedzi: 6    Wyświetleń: 2307
  • Jak rozróżnić konwerter analogowy od cyfrowego?

    nie ma podzialu na konwertery analogowe i cyfrowe wszystkie sa takie same i moze na nich dzialac sprzet analogowy i cyfrowy, killka lat temu spotkac mozna bylo jeszcze konwertery ktore nie obsluguja obecnie calego pasma czestotliwosci na ktorych nadawane sa programy z satelit, konwerter z wizji jest pelnozakresowy tzw full band jak wszystkie ktore sa...

    SAT Serwis   09 Lis 2006 13:52 Odpowiedzi: 3    Wyświetleń: 3212
  • Generator o regulowanej czestotliwosci

    Bardzo precyzyjne pytanie. Na szczęście istnieje równie ogólna odpowiedź. Układy DDS np. AD9850; pracuje od ułamków hertza do 125 MHz. Polecam oryginalny układ płytki testowej Analog Device (do skopiowania rozwiazań). Wymaga filtrowania sygnału wyjściowego.

    Początkujący Elektronicy   05 Gru 2006 22:52 Odpowiedzi: 2    Wyświetleń: 1229
  • dziwne zjawisko czestotliwosc rozmow jak to możliwe

    Coś mi się wydaje że pomyliłeś działy. Problem który opisujesz nie ma nic wspólnego z telefonami komórkowymi ponieważ w przypadku tel. komórkowego usłyszałbyś tylko bzyczenie transmisji, chyba że w pobliżu jest jakiś BTS który konwertuje sygnał na analog i wysyła w eter :D

    Smartfony Serwis   19 Lip 2007 12:11 Odpowiedzi: 16    Wyświetleń: 2022
  • Analogowy dobry syntezator. Szukam projektu

    Witam, schematow jest sporo, moze na poczatek przeanalizuj kostke SN76477 to scalony syntezator monofoniczny, masz tam wszystkie potrzebne bloki do uzyskania sporej ilosci brzmien i efektow. Jezeli interesuje cie cos bardziej ambitnego to poszukaj RadioElektronika z lat 80tych tam byly schematy syntezatorow analogowych na wzm. operacyjnych w razie czego...

    Początkujący Elektronicy   05 Gru 2007 15:30 Odpowiedzi: 18    Wyświetleń: 6987
  • Syntezator analogowy polifoniczny

    Witam, Wracajac do RE to nie mowie o organach polifonicznych na SAA1900 tylko o multifonie - jezeli dobrze pamietam jego nazwe a byl chyba w 6/84, jasno niebieska okladka, tam bylo 6 przelaczanych generatorow, poszukam u siebie tego numeru. Rozwiazanie proponowane przez Mje3slaw'a nie bardzo mi sie podoba gdyz musza tam byc dodatkow uklady wycinajace...

    Projektowanie Układów   05 Lip 2009 17:01 Odpowiedzi: 41    Wyświetleń: 10545
  • Mikroprocesor - osiagane czestotliwosci?

    Jak rozumiem, pisząc generator sekwencyjny, masz na mysli urządzenie, które odczytuje z pamięci sekwencję próbek wyjściowych i wysyła je do przetwornika cyfrowo-analogowego. Na wyjściu tego przetwornika pojawia się sygnał o częstotliwości maksymalnej 1kHz. Z tego co pamiętam, to MSP430 mogą pracować z zegarem do 8MHz (od jakiegoś czasu nic na nich nie...

    Mikrokontrolery   09 Gru 2007 00:03 Odpowiedzi: 8    Wyświetleń: 1290
  • Sygnalizacja na analogowej linii telefonicznej

    Identyfikacja jest jeszcze kodem FSK. Sygnał dzwonienia - ton o czestotliwosci okolo 400 Hz przerywany w rytmie 1/4 (1 sekunda sygnał / 4 sekundy cisza). Impulsy taryfikacyjne nadawane są z czestotliwością 12/16kHz. Strona odbierająca musi być wyposażona w odbiornik impulsów, który je zlicza.

    Telefony Stacjonarne Serwis   27 Lis 2007 07:34 Odpowiedzi: 17    Wyświetleń: 2591
  • Filtr Gaussa i GMSK. Czy spotkał ktoś wersję analogową?

    Pisze celowo drugi post. Dlaczego w Simulinku z wyjścia modulatora GMSK wychodzą liczby zespolone a nie odpowiedni przebieg sygnału. Mozna tam wstawić konwerter wyciągający część rzeczywistą czy urojoną i plotując te częsci uzyskuje się dwa różne przebiegi. A chcę uzyskac ten jeden zmodulowany by potem zobaczyć jego widmo - jak to zrobić? Liczba zespolona...

    DSP i Transmisja   15 Lut 2008 22:18 Odpowiedzi: 6    Wyświetleń: 5342
  • Czestotliwosci zagraniczne

    Trochę informacji na ten temat znajduje się również na niemieckiej Wikipedii: W rozdziale Analoger BOS są informacje nt. częstotliwości i szerokości kanałów.

    Radiotechnika Serwis   23 Sie 2008 20:23 Odpowiedzi: 6    Wyświetleń: 1909
  • Kupię tuner sat analogowy

    Kupię tuner sat analogowy najlepiej ztych starszych gdzie mozna czestotliwosc ustawiac zapomoca pilota

    SAT Bazar   02 Lut 2009 17:23 Odpowiedzi: 18    Wyświetleń: 4129
  • Atmga32 Regulowany generator czestotliwosci 10-200kHz

    Zły pomysł (sam się dziwię że to mówię bo jestem pro uC) ale do takiego zastosowania polecam zrobić generator na TL494 albo innym specjalizowanym układzie uC to średni pomysł po pierwsze dla tego że masz dość mała rozdzielczość regulacji na medze. Po drugie to nie jest taki prosty programik - jeżeli ma być stabilne a nie tylko być. Po trzecie układy...

    Mikrokontrolery AVR   22 Kwi 2009 22:09 Odpowiedzi: 8    Wyświetleń: 2597
  • Budowa analogowego miernika ESR

    Witam Troche to wszystko naciagane. Przyrzad pracuje praktycznie jako omomierz, tyle ze z napieciem pomiarowym przemiennym ~50 KHz. Przy tej czestotliwosci kondensator elektrolityczny np. 10µF bedzie przedstawial soba praktycznie zwarcie (kto chce niech policzy impedancje takiego kondensatora dla 50 KHz :D. )Dodatkowo kondensator elektrolityczny...

    DIY Zagranica   14 Mar 2011 22:03 Odpowiedzi: 14    Wyświetleń: 13495
  • Analogowy AZART i DVB-T w jednej instalacji.

    Kanał 67 też jest tymczasowy. gdyż częstotliwości powyżej 790 MHz mają docelowo być dla internetu LTE 800. Europejscy producenci którym zależy na tym aby ich sprzęt długo i bezawaryjnie służył jego użytkownikom już wprowadzili przełączalne ograniczenie częstotliwości pracy 790/862

    Instalacje antenowe archiwum   03 Paź 2012 20:42 Odpowiedzi: 15    Wyświetleń: 6109
  • UKF - czestotliwosci nie wszystkie zajete, a jednak brak wolnych (?)

    Rozgłośnie pracujące na tej samej częstotliwości muszą być oddalone o kilkaset kilometrów by nie wpływać jedna na odbiór drugiej. Bo radio to nie tylko mały odbiornik z anteną teleskopową ale też tuner ze stacjonarną anteną zdolny odbierać sygnał ze 100 kilometrów i więcej. Nadajniki w 1 lokalizacji muszą być w sporych odstępach z tego samego powodu....

    RTV Użytkowy   02 Lip 2012 13:31 Odpowiedzi: 4    Wyświetleń: 2377
  • Sterownik S7-200 - obejście modułu analogowego

    Z tego co widze, s7 200 (221, 222, 224, 226) maja wyjscia do 20KHz. 224XP do 100KHz. Mysle ze przy takiej modulacji, moglbys juz zobaczyc jak dioda przygasa przy zmniejszaniu czestotliwosci. Jak chcesz sterowac natezeniem swiatla? Przez wejscie analogowe czy przy pomocy wejsc cyfrowych podajac zaprogramowane wartosci? Mozna zaprogramowac jedno DI ktore...

    Automatyka Przemysłowa   12 Paź 2012 17:40 Odpowiedzi: 9    Wyświetleń: 1716
  • LED 42 cale - czestotliwosc odswiezania 400Hz vs 400Hz Motion Clarity Index MCI

    Obecnie posiadam LCD Samsunga 32" z odswiezaniem 100Hz. Ogladam na nim glownie analogowa TV, lub obraz z komputera. Jedyny problem, ktory zauwazylem z odswiezaniem to przy podlaczeniu do komputera, kiedy odtwarzam filmik z kamery, ktory jest w jakosci HD. Na ekranie TV widac wtedy lekkie opoznienie (lagi), tak jakby procesor TV nie wyrabial z przetwaraniem...

    Jaki TV, projektor, kamerę kupić?   29 Gru 2012 01:17 Odpowiedzi: 1    Wyświetleń: 12546
  • Atmega16A PU [C/WinAVR] - Błąd w programie obsługi wejścia analogowego

    Witam. Ciągle uczę się programować na podstawowym poziomi i mam problem z obsługą wejść analogowych. Mój zamysł był taki po wciśnięciu przycisku start mikrokontroler rozpoczyna konwersję sygnału napięciowego na wejściu analogowym i z zależności od poziomu sygnału dokonuje odpowiedniej operacji na porcie wyjściowym. Operacje tą przerywam poprzez wciśnięcie...

    Mikrokontrolery AVR   27 Lut 2013 00:54 Odpowiedzi: 5    Wyświetleń: 1155
  • DVB-C USB UPC analogowa - większość kanałów poblokowanych

    Nie jestem gniewny, mlody zalezy dla kogo. Poprostu pisalem z telefonu i mialem malo czasu. Dzieki za odpowiedz. Skoro tv analogowa ma inne czestotliwosci czy moglbys mi powiedziec czy za pomoca tego dvb-c na usb moge je znalsc? Jesli tak to jaki zakres fal itp musze dobrac? Pozdrawiam,

    RTV Użytkowy   19 Paź 2013 17:58 Odpowiedzi: 3    Wyświetleń: 1734
  • Przetworniki czestotliwosci radiowych na spektrum swiatla widzialnego.

    Ustalenie kierunku, z którego jest emitowana fala elektromagnetyczna o długości makroskopowej (powiedzmy, od paru cm) można robić porównując fazy i amplitudy z paru anten (na tej zasadzie działa radiopelengacja, na analogicznej zasadzie nasz słuch określa kierunek, z którego dociera dźwięk) - gdyby antena odbierała tylko z określonego kierunku, to po...

    Ogólny techniczny   24 Paź 2014 21:42 Odpowiedzi: 22    Wyświetleń: 1866
  • uniden ubc 68xlt2 - zmieniające się czestotliwosci

    Zapewne masz krok (step) między kanałowy ustawiony 5 KHz. Musisz ustawić 12,5 KHz. Jak to zrobić masz w instrukcji. Już skumałem, a orientujesz się może czy to możliwe żeby służby z dnia na dzień przeszły z analogu na nadawanie cyfrowe? Jakiś czas temu słucham bez problemu a teraz na tej samej częstotliwości nie odbiera kompletnie nic. Czy poprostu...

    Radiotechnika Początkujący   04 Cze 2016 14:58 Odpowiedzi: 7    Wyświetleń: 1635
  • Detektor czestotliwosci, porównanie częstotliwości z wzorcową

    Nie napisałeś, o jakich częstotliwościach mowa, choć z rysunku (układy 555) można się domyślać, że nie za duże. Jakikolwiek analogowy detektor częstotliwości da wyjście napięciowe, więc sygnał 0/1 otrzymasz z komparatora okienkowego. Stosując detektor fazy, musisz badać stałość napięcia wyjściowego (wartość nieistotna, związana tylko z różnicą faz;...

    Nauka Elektroniki, Teoria i Laborki   09 Wrz 2016 09:19 Odpowiedzi: 2    Wyświetleń: 1428
  • [Zlecę] Zlecę wykonanie transmitera TV do kamery analogowej

    Jesteś pewien? Tak, bo sa dwa rodzaje ,,Video Senderów" - łącze na np. 2,4 GHz i wówczas wystepuje w nich i nadajnik i odbiornik podłączany do gniazda AV, albo inne, starsze, gdzie nadajnik pracuje na czestotliwosci któregos kanalu TV. Mialem kiedyś takie ,,cudo" 3 kanał i antena teleskopowa. Na marginesie, sprawdzałem te łącza kamerowe na ebayu. Popyt...

    Projektowanie Bazar   31 Gru 2017 07:27 Odpowiedzi: 10    Wyświetleń: 1413
  • Radio analogowe FM i AM w przyszłości

    (at)Jacekser jakie są właściwości tego wzorca 225KHz? Jakaś określona dokładność? Podawana przez Instytut Łączności w codziennych komunikatach radiowych odchyłkę .Wzorzec to zegar atomowy.Warto też poczytać bo wiele się w tej materii zmienia("zmiany,zmiany,zmiany :)" ) [url=]Link i kilka aktualnych info: [url=]Link

    Tematy tygodnia   08 Mar 2024 04:12 Odpowiedzi: 130    Wyświetleń: 15867
  • Nadawanie obrazu do odbiornika - Odbiornik TV analogowej w samochodzie

    Dlaczego nie ma mozliwosci dorobienia A/V IN w odbiorniku TV w samochodzie ( nie wyjscia a wejscia )? Dlaczego odpada video sender? Co Cie interesuje czestotliwosc lub sposob komunikacji miedzy odbiornikiem a nadajnikiem tego urzadzenia? Powinno Cie jedynie interesowac jakiego rodzaju wyjscie i jakiego rodzaju sygnal masz na wyjsciu odbiornika. Co to...

    Początkujący Elektronicy   22 Kwi 2019 22:47 Odpowiedzi: 10    Wyświetleń: 405
  • SDR# RTL EDACS unitrunker - Nie znajduje czestotliwosci

    gdzie w sdr jak widzę rozmowe i kliknę to normalnie słyszę rozmowy czyli nie jest kodowany Czyli to nie EDACS, tylko zwykły analog.

    Radiotechnika Początkujący   09 Kwi 2020 19:28 Odpowiedzi: 13    Wyświetleń: 1848
  • Czy magnetofony kasetowe dają analogowe brzmienie z cyfrowych nagrań?

    ,,transformatorze prądowym,, zawartym we wzmaku. Śmiechu warte. - podobna jest takze zaszczepiona "bajka o klasie AA marki Technics - patent ukradziony Amerykanom i w dodatku schrzaniony" - ale najlepsze to bylo jak cos takiego mial ich Deck i to juz zakrawalo na smiesznosc gdyz stosowanie takiego rozwiazania we wzmacniaczu sluchawkowym to juz kompletny...

    RTV Serwisant Po godzinach   03 Lut 2023 11:15 Odpowiedzi: 31    Wyświetleń: 3012
  • tlumaczenie

    To bedzie improwaizacja, wiec niech mnie poprawiaja, ten kto moze: Zdekodowany, cyfrowy sygnał jest transformowany(przetwarzany) w anologowy za pomoca 18-bitowego (nie za bardzo wiem co to jest oversample, cos jakby:) przeprobkowanego multibitowego sigma-delta cyfrowo-anlogowego konwertera. Przeprobkowane wyjscie jest filtrowane za pomoca wbudowanego,...

    Inne Serwis   05 Wrz 2003 00:53 Odpowiedzi: 3    Wyświetleń: 1396
  • Jak zaprojektowac filtr

    ktory zatrzymuje 3 harmoniczna. to nie ma byc praktyczna realizacja tylko w programie symulujacym PCDSP. Wczytujemy tam 420 probek sygnalu analogowego (ma to byc filtr cyfrowy), ktory probkowalismy (sygnal prostokatny i trojkatny. Czestotliwosc sygnalu analogowego byla zadana i wynosila 82 Hz a czestotliwosc probkowania tez po odpowiednim preliczeniu...

    Początkujący Elektronicy   01 Lis 2005 20:59 Odpowiedzi: 6    Wyświetleń: 3149
  • [BASCOM] [ATMEGA16] blad danych RS232, timer

    Witam,. Mam następujący problem: Przetwornik analogowy na ADC pin 1 Przetwornik częstotliwość na Timer0 max232 1uF Kwarc 8Mhz i atmega16L Na kablu ze złączkami BNC mam połączenie atmega -> przetwornik czestotliwosci (2 kolumna). Wydaje mi się, że wszystko dobrze zlutowane oraz nie ma przerw w kablu BNC. Kabel mam 50 Ohm. Podczas wysyłania danych na...

    Mikrokontrolery AVR   24 Mar 2010 07:50 Odpowiedzi: 4    Wyświetleń: 1669
  • Ile stopni wzmacniających w preampie? ( dla w.cz. )

    Witam. Uklad ma za duze wzmocnienie (open-loop) i bezsensowny schemat oraz wartosci korekcji czestotliwosciowej. Na poczatek zwieksz R19 przynajmniej do 2,2k i zastap R11...R13 oraz C3...C5 przez jeden czlon Rf ≈ 680k i Cf ≈ 100pF (wartosci dobierz odpowiednio do poziomu sygnalu wejsciowego i czestotliwosci pily; analogicznie nalezy dobrac...

    Projektowanie Układów   16 Mar 2008 23:09 Odpowiedzi: 21    Wyświetleń: 1937
  • DSX6010

    jezeli masz analog to powinnes sobie poradzic no chyba ze masz uszkodzony konwerter poszperaj po elektrodzie powinienes znalesc gdzies post gdzie jest link do wykazow stacji i czestotliwosci rowniez analogowych i ustaw np tv polonia i krec antenka .A sygnal mozesz zmierzyc miernikiem sygnalu sat ja posiadam taki wychylowy za okolo 100 zl jak narazie...

    SAT Serwis   18 Kwi 2004 02:36 Odpowiedzi: 20    Wyświetleń: 9823
  • Jak i z czego zrobić filtr dolnoprzepustowy?

    Ten program sam liczy wystarczy wybrać układ i i czestotliwosc Vcc to dodatnie a Vee ujemne (symetryczne) A tu masz gotowy

    Początkujący Elektronicy   04 Maj 2008 16:43 Odpowiedzi: 18    Wyświetleń: 12207
  • Grundig SE7020 IDTV / LOG Sydney 100

    Witam! W tym chassis zawartosc EEpromow IC80065 i IC80070 dokonywana jest poprzez tzw. start awaryjny (Notdatensatz),po ich wymianie (pustych).Jest to tylko jeden jedyny raz mozliwe po wymianie EEpromow lub Procesora.Przycisk P- na TV przytrzymac nacisniety i zalaczyc TV wlacznikiem sieciowym.Wynikiem tego zabiegu jest kopiowanie danych zEprom IC80060...

    TV Serwis   26 Mar 2003 23:37 Odpowiedzi: 3    Wyświetleń: 1939
  • Minimalna częstotliwość próbkowania i podobne :)

    Hej, nie działa mi wyszukiwarka więc sorki, jeśli ten temat byl wielokrotnie. Mam kilka zadanek, jedne jakoś udało mi sie zrobić, ale z dwoma mam problem: Wyznacz minimalną częstotliwość próbkowania dla sygnału: x = 50 sin (80 pi t) + 10 cos (90 pi t + pi/3) Sygnał składa się z sinusoidy o częstotliwościach 40 Hz i to chyba jasne. A jak jest z cosinusoidą?...

    Początkujący Elektronicy   30 Paź 2007 01:41 Odpowiedzi: 5    Wyświetleń: 4996
  • TECSUN S2000 czy już ktoś kupił?

    a moze jednak Eton E1 , lub jak kto woli Grund Satellite 900 ,urzadzenia o ktorych mowa tutaj , to sa klasyczne z podwojna przemiana czestotliwosci,co do czystosci odbioru to porownywalna jest nasza poczciwa Julia IC stereo ,szukasz z potrojna przemiana to patrz na grundig profesional np.model 650 , co do grundigow to model 700 jest ciekawy ponadto...

    Radiotechnika Co kupić?   15 Lip 2016 05:22 Odpowiedzi: 24    Wyświetleń: 17426
  • LG HX995DF - głośniki po LG HX995DF

    żeby wpiąć się w ten układ z Gniazdem INCH lub Jack ewentualnie HDMI Kolego czytajac jakie piszesz glupoty zwlaszcza z tym HDMI to daj sobie spokuj, lub po prostu za nim zrobisz sobie krzywde, lub uszkodzisz inny sprawny sprzet, to poczytaj w sieci o wzmacniaczach, czestotliwosciach, sygnalach analogowych i cyfrowych - tak na poczatek.

    Audio Nagłośnienie Domowe   04 Lis 2013 22:11 Odpowiedzi: 3    Wyświetleń: 1089
  • Samochodowy komputer zużycia paliwa

    Szanowni Panstwo! Chemy skorzystac ze sposobnosci i prezentowac Panstwu system kontroli zuzycia paliwa VEPAMON, ktora produkujemy. Sprzet moze byc zaistalowany na dowolnym typie samochodow, budowlanych i rolniczych maszynach. Obecnosc standardowej sondy poziomu paliwa nie jest wymagane koniecznie, dlatego ze moze byc uzywana sonda wysokiej czestotliwosci...

    Artykuły   23 Mar 2016 21:58 Odpowiedzi: 556    Wyświetleń: 381099
  • Motorola Radius GP1200 - Err 02

    Dzieki, troche mnie to rozjaśniło:) Problem w tym, ze skąd ja wezme softa do MT2100... To chyba największy problem:( E tam, zaden problem ;) Login: hackersrussia Password: freeFORall Soft do MT2100 tym sie wyroznia, ze moze generowac od zera codeplug'i, co w tym przypadku jest bardzo pomocne. Po prostu ustawiasz w odpowiednim menu dokladne parametry...

    Radiotechnika Serwis   25 Mar 2006 22:10 Odpowiedzi: 39    Wyświetleń: 9910
  • Arduino Uno test, opinia, pierwsze uruchomienie. DHT22, BMP180, FFT LED RGB.

    W ramach trwającego konkursu Czujnik wilgotności i temperatury AM2302 (DHT22) Czujnik AM2302 może pracować z napięciami 3.3-5.5V posiada 4 wyprowadzenia (jedno niewykorzystywane). Trzy wyprowadzenia wykorzystane są jako zasilanie (1), transmisja danych (2), oraz masa (4), więcej znajdziecie w nocie katalogowej: Długość przewodów połączeniowych może...

    Artykuły   02 Gru 2018 14:31 Odpowiedzi: 18    Wyświetleń: 11523
  • Czy da się przesyłać dane przez krótkofalówki??

    dobra zaczne tak: Kolejny człowiek udzielający "dobrych rad" :) odpowiedz na punkt 1 Na dzień dzisiejszy obowiązuje rozporządzenie Ministra Infrastruktury z dnia 6 sierpnia 2002 r. w sprawie urządzeń radiowych nadawczych i nadawczo-odbiorczych, które mogą być używane bez pozwolenia (Dz. U. Nr 138, poz. 1162), które mówi: Nie wymaga pozwolenia używanie...

    Radiotechnika Serwis   07 Gru 2006 18:38 Odpowiedzi: 117    Wyświetleń: 15216
  • Jakie kolumny ?

    Ja barthezz PaniczKamil ktory mamy mniejsze czy wieksze pojecie o naglasnianiu tlumaczymy ci to co jest naprawde a ty swoje. Jezeli jzu ci tak konkretnie chodzi o to porusznaie ubran czy odczucia mechaniczne ciala to powiedzmy sobie szczerze co z tego jak i tak sie tego pasma nie uzywa w narmalnej muzyce. KOlejna sprawa jest jak jest to koncert z perka...

    Nagłośnienie Profesjonalne   19 Kwi 2007 18:02 Odpowiedzi: 37    Wyświetleń: 4983
  • Kilka podstawowych pytań odnośnie Atmega8

    Nie. Jak zapewne wiesz liczby w swiecie komputerow sa reprezentowane za pomoca bitow. Bit przyjmuje jeden z dwoch stanow 0 lub 1. Im wiecej bitow tym wieksza liczbe dzieki nim mozemy przedstawic. Dzieki 8-miu bitom mozemy przedstawic maksymalnie 256 wartosci w tym zero. Ale do przedstawienia takiej cyfry potrzebujemy osmiu wyprowadzen. Atmega8 jest...

    Mikrokontrolery   17 Lis 2005 21:50 Odpowiedzi: 14    Wyświetleń: 2640
  • Częstotliwość p.cz. dla fal LW

    Akurat częstotliwość : f.h = 200 kHz - 465kHz = -265 kHz jest niemożliwa do osiągnięcia bo ujemne częstotliwości nie istnieją :) Ujemne czestotliwosci nie istnieja to fakt, ale tez faktem jest ze przy heterodynie pracujacej na 265kHz da sie odbierac stacje nadajaca na 200 kHz i to przy pośredniej 465 kHz. Po prostu wzor w tym wypadku wyglada nastepujaco:...

    Radiotechnika Serwis   04 Lut 2006 22:31 Odpowiedzi: 24    Wyświetleń: 2700
  • SIA FSK na atmega - emulacja (transmisja softwarowa)

    Nadawanie FSK jest dosc proste do zrealizowania za pomoca sygnalu PWM - ustawiasz generator na jedna z dwoch czestotliwosci i przelaczasz pomiedzy nimi w odpowiednich momentach. Odbior FSK jest duzo trudniejszy w realizacji softwarowej, z racji tego ze przetwornik analogowo-cyfrowy AVR jest zbyt wolny by probkowac sygnal bezposrednio. Ze wzgledu na...

    Mikrokontrolery AVR   03 Sty 2011 16:28 Odpowiedzi: 5    Wyświetleń: 1509