REKLAMA

dobry modulator

Znaleziono około 1184 wyników dla: dobry modulator
  • Modulator z wejściem dla anteny i kablówka.

    narysuj co to jest sygnał z dvi ? dobrze zrozumiałem dvd i komputer chcesz puścić w sieć - potrzebne dwa modulatory. nie radzę przepuszczać sygnału do modemu przez modulatory

    SAT Serwis   25 Gru 2007 21:05 Odpowiedzi: 9    Wyświetleń: 1718
  • Modulator z magnetowidu. Podłączenie

    Witam, posiadam modulator Mitsumi MDF33-UD3623 wymontowany z magnetowidu orion. Chcę go wykorzystać do podłączenia odtwarzacza DVD poprzez złącze RCA z odbiornikiem TV w którym jest tylko wejście ant. Czyli podać do modulatora sygnały audio/video z DVD oraz zasilanie. W modulatorze jest pięć nóżek oznaczonych kolejno od lewej: V, A, Bm, Nc, Bb Czyli...

    Początkujący Naprawy   21 Lis 2012 17:26 Odpowiedzi: 3    Wyświetleń: 2401
  • REKLAMA
  • modulator telewizyjny Mixpol MDP-6 - pytanie

    czyli w moim wypadku muszę mieć takie 4 modulatory czyli do pierwszego modulatora podłączam sygnał po niskiej stronie z 1 dekodera oraz zamykam wejscie IN RF rezystorem, następnie sygnał z modulatora 1 z wyjścia OUT RF podłączam pod wejście IN RF modulatora 2 oraz sygnał do RCA z dekodera pod modulator 2 itd a na koncu sygnał do rozdzielacza z modulatora...

    Połączenia urządzeń   18 Wrz 2011 19:14 Odpowiedzi: 6    Wyświetleń: 2304
  • REKLAMA
  • Modulator z analoga: podłączanie kamery do telewizora

    czy dobrze kombinuje-wylutuje modulator, podłącze zasilacz 12v, sygnał z kamery na AV, sygnał z a anteny zewnętrznej na ant no i wyjście na telewizor, pewnie modulator ma jeszcze wejścia audio ale mi nie sa chyba potrzebne? modulator mam w tunerze Comsat mini

    SAT Serwis   15 Cze 2006 17:52 Odpowiedzi: 2    Wyświetleń: 1253
  • Jak działa prosty modulator częstotliwości?

    Może ktoś ma dobrze działający modulator fm, który jest w miarę prosty. Będę go robił w EWB, chodzi mi tylko o pokazaniu jak działa.

    Początkujący Elektronicy   07 Lis 2012 17:37 Odpowiedzi: 3    Wyświetleń: 1152
  • Obraz z modulatora pokazujący się jako 3 kolumny

    Dzień dobry, Posiadam modulator RF (ALPS 71031) i udało mi się go podłączyć do starego odbiornika TV. Problem tkwi w tym, że obraz zamiast być spójny, powtarza się na 3 kolumnach. Jak można to naprawić? Dod. informacje: Źródło sygnału: Raspberry Pi, Odbiornik: eletronika 16TB-410D (prod. radziecka)

    Połączenia urządzeń   23 Lis 2014 20:28 Odpowiedzi: 0    Wyświetleń: 423
  • REKLAMA
  • Jaki polecacie modulator antenowy?

    Dzień dobry! Jaki polecacie modulator antenowy do starego telewizora. Chciałbym, żeby miał systemy B&W, PAL, SECAM, NTSC. złącza 2 RF, SCART, RCA. Tryby: Mono/stereo/RGB/Composite (CVBS)/S-VIDEO. Zakres VHF1/VHF3/UHF. Telewizor jest drewniany chyba 15 calowy z lat siedemdziesiątych. Cena nie gra roli. Proszę o jakieś normalne propozycje.

    RTV Co kupić?   31 Sty 2015 23:58 Odpowiedzi: 3    Wyświetleń: 951
  • Actros 2000r - brak zasilania w modulatorze tylnej osi, co robić?

    Jak dobrze pamiętam, to modulatory nie mają stałego zasilania. Podaje je ECU EBS wtedy kiedy potrzeba (naciśnięcie pedału hamulca). Możliwe że sam modulator jest uszkodzony i przeciąża sterownik. Diagnostykę robiłeś? Jakie błędy?

    Samochody Ciężarowe   20 Lut 2024 15:14 Odpowiedzi: 7    Wyświetleń: 183
  • [Sprzedam] Modulator AV, aparat Canon, transformator 24V, matryca LCD i inne.

    Sprzedam kilka przedmiotów. Przesyłka pocztowa do wyboru - ekonomiczna lub priorytetowa. Za przesyłkę płaci kupujący. Koszt wysyłki uzależniony od wagi przedmiotu. Wpłata należności na konto. Niektóre ceny podlegają negocjacji, ale tylko niektóre. W razie jakich pytań pisać na PW. Zwykle odpowiadam dopiero wieczorem i to nie codziennie. Aparat Canon...

    Ogłoszenia Elektronika   22 Lip 2012 17:46 Odpowiedzi: 0    Wyświetleń: 2301
  • Równoważenie modulatora... SP5WW

    Mam zrobioną i uruchomioną plytkę podstawową transceivera wg SP5WW i nie mogę sobie poradzić z blokiem nadajnika. Nie wiem czy dobrze wykonuję poszczególen pomiary i przeprowadzam równoważenie modulatora. W sumie równoważenie przeprowadza się potencjometrem montarzowym, a poziom sygnalu można jeszcze dobrać obwodem strojonym (Tr5). Jeżeli ktoś mial...

    Radiotechnika Serwis   03 Sie 2004 09:15 Odpowiedzi: 0    Wyświetleń: 1069
  • Przesyłanie AV za pomocą modulatora w telewizji kablowej

    Na takie bicie piany rozkładam ręce. Nie ma sieci kablowej która stosuje modulatory dwuwstęgowe . Gdybyś pomyślał, to już brakuje czestotliwości do upchania programów przy jednowstęgowych modulatorach, a Ty walisz jakieś brednie , że sieci kablowe, a mówimy o jednej, ma jeszcze wolne kanały przy stosowaniu dwuwstęgowych modulatorach. Czyli abonent...

    Radiotechnika Początkujący   04 Gru 2009 19:48 Odpowiedzi: 7    Wyświetleń: 5038
  • REKLAMA
  • Dorobienie wejścia AV w telewizorze Libra 203 bez użycia modulatora - możliwe?

    Dzień dobry. kolejna część zabawy z tv libra. otóż wiele się naczytałem że można dorobić do telewizorów bez wejścia, wejście av czytałem że trzeba się wpiąć gdzieś za dyskryminatorem wizji (chyba). czy da radę to jakoś w tym telewizorze dorobić, czy za stary telewizor. wiem że można to podłączyć modulator. ale chce się obejść bez niego. Dodaję schemat...

    Początkujący Naprawy   28 Kwi 2021 11:38 Odpowiedzi: 5    Wyświetleń: 456
  • Radiowy przedłużacz pilotów z modulatorem.

    Witam! Ostatnio mój kolega prosił mnie o pomoc przy zakupie Sendera TV do swojego zestawu Cyfry+.Po zakupieniu i podłączeniu urządzenia okazało się że odbiór telewizji pomiędzy parterem i piętrem budynku jest bardzo kiepskiej jakości.Wymieniliśmy urządzenie na drugie droższe (265zł) z możliwością zdalnego sterowania pilotem.Niestety odbiór przekazu...

    DIY Konstrukcje   21 Gru 2008 17:46 Odpowiedzi: 10    Wyświetleń: 10702
  • SEG chassis 11AK41, ustawicznie pali diodę w modulatorze.

    Wymień kondensator C215nie sprawdzając czy dobry czy zły,i załóż nowe diodyD206 D207 .Następnie rezystor R262 i tranzystor korekcji.Profilaktycznie wymieniłbym także kondensatory C211 C212.To nie jest duży koszt a będzie pewniej. Dodano po 3 Domniemam że napięcie zasilania stopnia linii ma byc 135V

    TV Serwis   25 Kwi 2007 10:18 Odpowiedzi: 9    Wyświetleń: 1780
  • Nadajnik TV z modulatora.

    Witam, wykonałem nadajnik telewizyjny z modulatora od magnetowidu. Modulator ustawiłem tak aby nadawał na 36 kanale telewizji analogowej. Do wyjścia modulatora podłączony jest jakiś stary abonencki wzmacniacz sygnałowy (nie znam wzmocnienia). Antena zarówno w nadajniku jak i odbiorniku to kawałek drutu. Zasięg jest mały - kilka metrów i jakość obrazu...

    DVD/VCR/Kamery Początkujący   13 Gru 2015 23:18 Odpowiedzi: 7    Wyświetleń: 4203
  • Wykorzystanie tunera analogowego jako modulator.

    Jeżeli chodzi o wykorzystanie magnetowidu, to mam tak podłączony tuner cyfrowy już od początku i działa oczywiście dobrze. Ale chodziło mi o wykorzystanie modulatora tunera analogowego. Do tej pory testowałem tunery: Morgan's Arizona ll-D z jednym eurozłączem oraz Lifesat z dwoma eurozłączami - TV i Decoder. W menu nie ma możliwości ustawienia wyjścia...

    SAT Serwis   02 Cze 2009 19:12 Odpowiedzi: 19    Wyświetleń: 5974
  • Instalacja dvbt na 6 pomieszczeń + modulator

    Wydaje mi się iż to nie jest problem skręconej korekcji Być może, sugerowałem się tym że przenosi zmodulowany S02 i 1 najniższy multiplex ;) W ogóle to ten układ z rysunku będzie dobrze działać "na papierze". Zakładam, że z anteny masz około 60dB minus dipleksery z 5dB - rozgałęźnik 4dB - kable 3dB , daje nam to około 48dB, teoretycznie nie jest źle,...

    Instalacje antenowe archiwum   25 Maj 2013 20:56 Odpowiedzi: 6    Wyświetleń: 1659
  • Telewizor OT Vela 202 - dźwięk nie pokrywa się z obrazem przez modulator.

    Witam, Mam problem z dekoderem DVB-T apollo AHD 122, modulatorem maximum rf-3000 i telewizorem Unitra Vela 202, mianowicie po wyszukaniu kanału modulatora i dostrojeniu obrazu mam dobrej jakości obraz, niestety - fonia zupełnie milczy. Jeżeli rozstroję obraz delikatnie i widać tylko zakłócenia bądź skaczący obraz - słychać jakby przesterowaną fonię....

    Początkujący Elektronicy   19 Lut 2015 11:23 Odpowiedzi: 12    Wyświetleń: 1098
  • Mininadajnik TV na modulatorze od tunera satelitarnego

    Witam. Wystarczy podłączyć wzmacniacz w.cz. do wspomnianego modulatora, tylko trzeba się zorientować na jakiej częstotliwości działa wspomniany modulator. Ostatnio też miałem taki kaprys ale dał się we znaki brak czasu na zrealizowanie tego pomysłu w praktyce, ale powinno się udać. Przecież formowanie sygnału AUDIO i VIDEO przebiega już w modulatorze,...

    Radiotechnika Serwis   15 Lis 2003 00:12 Odpowiedzi: 6    Wyświetleń: 3559
  • Jak podlaczyc modulator ?

    Witam. Sorry, że odkopuję temat, ale również mam problem dotyczący podłączenia modulatora. Został mi po Playstation taki wynalazek jak na zdjęciach poniżej, więc przeczytawszy kilka tematów na elektrodzie pomyślałem, że może mógłbym go wykorzystać do zrzucenia obrazu z wyjścia TV-OUT karty graficznej PC (Radeon 9600pro) na telewizor (Otake), który posiada...

    Początkujący Serwisanci   16 Paź 2006 14:57 Odpowiedzi: 8    Wyświetleń: 5019
  • Modulator Commodore Amiga A520 - daje zły obraz

    Witam, Jestem w posiadaniu w pełni sprawnej Amigi 500. Problem dotyczy właśnie oryginalnego modulatora. Żadnym sposobem nie mogę uzyskać dobrego obrazu na TV ani przez "Video Out" ani przez "RF Out". Nic w nim nie kręciłem poprostu jak pakowałem kiedyś sprzęt to działał. Dzisiaj podłączam a obraz taki jak na fotce. Poprzez kabelek na Euro (czyli bez...

    Komputery Hardware   08 Wrz 2021 13:03 Odpowiedzi: 10    Wyświetleń: 6154
  • Podłączenie modulatora RF4000UHF do multiswitcha SPU 98-03

    Dobrze, że masz miernik, to bardzo ułatwi sprawę. Sądząc po ustawieniu tłumika w multiswitchu, masz silny sygnał ze wzmacniacza antenowego i mocno "odczulony" multiswitch. Wykonaj pomiar poziomu na wyjściu wzmacniacza. Poziom wyjściowy modulatora mieści się normie (w/g producenta: 75±5 dBµV / 75 Ohm). Jeśli rżeczywiście tak masz, to są dwa...

    RTV Użytkowy   06 Mar 2013 03:29 Odpowiedzi: 14    Wyświetleń: 4146
  • Nexus NS 500 - Czy zadziała jako modulator?

    Ten analogowy dekoder ( w zasadzie raczej tuner niż dekoder) posiada modulator. To przeczysz sam sobie. Bo jeśli autor tematu myśli, że za jego pomocą podłączy inne urządzenie do starego telewizora to się myli. Skoro to jest modulator, to w czym problem - może mi kolega to wyjaśni?. Moderatorze, do Ciebie też kieruję to pytanie. Modulator to jest i...

    Początkujący Naprawy   29 Lis 2014 12:24 Odpowiedzi: 18    Wyświetleń: 2004
  • Ametyst Junost - Jak podłączyć modulator lub VHS do bardzo starego telewizora

    Posiadałem kiedyś Junosta - tę antenę można odłączyć i bez problemu podłączyć antenę zewnętrzną czy modulator. Przez odpowiednią wtyczkę oczywiście. Junost, o ile dobrze pamiętam, ma dwa wejścia antenowe - jedno na zakres VHS, drugie UHF. Ametyst - nie przypominam sobie, aby miał on wbudowaną antenę. To był zwykły stacjonarny telewizor. Tyle, że miał...

    Połączenia urządzeń   28 Sty 2016 16:50 Odpowiedzi: 10    Wyświetleń: 1722
  • Podłączenie modulatora z magnetowidu do telewizora Libra 203 bez dodatkowego zasilania

    Witam. Zdecydowanie odradzałbym szukania jakichkolwiek napięć w tv Libra! Jest to odbiornik lampowy gdzie występują napięcia niebezpieczne dla życia. Dodatkowym niebezpieczeństwem jest to, że nie posiada on galwanicznej izolacji od sieci 230V. Wykorzystaj zasilacz transformatorowy wtyczkowy. To ważne. Dobrze jest wiedzieć jakie napięcie jest potrzebne...

    Początkujący Naprawy   28 Kwi 2021 11:37 Odpowiedzi: 3    Wyświetleń: 321
  • Komputer--->modulator---> wzmacniacz antenowy

    Zwykła karta z s-video wystarczy.Przewód s-video-chinch.Modulator też może być dowolny,najlepiej stereo.A z tegoco widzę to wzmacniacz wybrałeś bardzo dobry:)Pomyśl tylko nad odizolowaniem galwanicznym komputera

    SAT Serwis   19 Mar 2009 12:29 Odpowiedzi: 4    Wyświetleń: 2167
  • Alan 28 - usunięcie fabrycznego ograniczenia modulatora

    Pozdrawiam wszystkich, przepraszam za błędy gramatyczne, używam tłumacza. Czytałem w sieci, że Alan 28 opuszcza fabrykę z ograniczeniem modulatora. W rzeczywistości mój Alan 28 ma bardzo złą modulację, trzeba usunąć elementy, które powodują ograniczenie, żeby mieć dobrą modulację. Czy ktoś z Was może mi pomóc?

    CB Radio   12 Lip 2022 16:38 Odpowiedzi: 34    Wyświetleń: 1788
  • Instalacja RTV-SAT + 6 modulatorów.

    Jeśli dobrze myśle to jeśli zastosuje wyjście AV z sygnałem ze wszystkich kamer i ten sygnał zmoduluje w kabel to na jednym z kanałów będę miał na TV podgląd wszystkich kamer na raz w postaci obrazu podzielonego a nie na poszczególnych kanałach poszczególne kamery.

    Instalacje antenowe archiwum   21 Lut 2011 16:21 Odpowiedzi: 20    Wyświetleń: 3330
  • telewizor PROVISION L-1541DVD , modulator RF-3000

    Witam. Proponuję podłączyć wzmacniacz antenowy, zaraz za wyjściem z modulatora. Powinno to pomóc, aby na dalszych odbiornikach był właściwy sygnał. Proszę rónież zwrócić uwagę, czy dobrze i stabilnie pracuje modulator..

    RTV Użytkowy   08 Lip 2010 06:23 Odpowiedzi: 10    Wyświetleń: 2895
  • Modulator magnetooptyczny ułatwi rozwój nowych komputerów nadprzewodnikowych

    W przyszłości wiele komputerów najprawdopodobniej będzie opartych na obwodach elektronicznych wykonanych z nadprzewodników. Materiały, przez które prąd elektryczny może płynąć bez strat energii, mogą być bardzo obiecujące dla rozwoju superkomputerów o wysokiej sprawności, jak i kwantowych. Naukowcy z University of California Santa Barbara, firmy Raytheon...

    Newsy   11 Lis 2022 14:28 Odpowiedzi: 0    Wyświetleń: 324
  • Modulator RF Velleman + komputer - brak reakcji

    Kupiłem Modulator RF Velleman Ma on taka funkcje ze po załączeniu podłączonego do niego sprzętu sam przełącza się w tryb odpalający na tv dane urządzenie..po wyłączeniu źródła sygnału powraca do odtwarzania sygnału telewizyjnego. Po podłączeniu do modulatora np. dvd wszystko jest ok.ale sygnał z komputera nie przełącza go w ten tryb. Co jest nie tak?...

    Komputery Hardware   07 Gru 2008 16:11 Odpowiedzi: 0    Wyświetleń: 654
  • Sygnał z nagrywarki HDD po kablu antenowym na telewizorze (modulator, UPC)

    Prawdopodobnie UPC zajęło Ci wszystkie kanały na których może nadawać modulator.To ,że masz szum nie oznacza ,że nie ma tam sygnału.Cyfrowy sygnał wygląda jak szum ale bardzo dobrze zakłóca.Najlepiej dowiedz się w UPC na jakich kanałach nadają.Jeśli jakiś będzie wolny może pomoże wtedy wymiana modulatora na jednowstęgowy..

    RTV Użytkowy   11 Paź 2011 15:33 Odpowiedzi: 6    Wyświetleń: 4549
  • Junost 402BC - Jak podłączyć modulator?

    Dzień dobry, wykopałem z piwnicy stary telewizor - Junost 402BC. Chciałbym dać mu drugie życie. Zastanawiam się czy gdybym kupił modulator antenowy (na przykład: ) mógłbym podłączyć go jakoś pod wbudowaną antenę? Jeśli tak to jak? Z tyłu urządzenie nie widzę żadnego wejścia by coś takiego podłączyć. Wysyłam zdjęcia urządzenia w załączniku.

    Połączenia urządzeń   14 Gru 2017 01:53 Odpowiedzi: 9    Wyświetleń: 858
  • Modulator Videomaster pv640+

    Witam, Mam modulator obrazu video PC->TV taki jak w temacie. Zasilany jest z tego co sie dowiedzialem 9V. Ma wejscie na monitor i wyjscia: monitor sVideo Video(chincz). niestety po podłaczeniu tego modulatora do PC i tv (oraz zasilania) widać tylko zardzo zniekształcony obraz (sprawdzałem na rużnych częstotliwościach i roździelczościach) a po podłaczeniu...

    Komputery Hardware   30 Mar 2005 19:00 Odpowiedzi: 0    Wyświetleń: 552
  • Radio fabryczne Saaba 900 i modulator fm - przestał działać

    Witam, mam w moim Saabie zrobione wejście do odtwarzacza mp3 poprzez modulator fm (taki jak na obrazku), które jest podłączony do radia fabrycznego (tak jak na schemacie), jest zakodowany na 87,7 fm Otóż mój problem wygląda następująco: niedawno pierwszy raz stało się tak, że muzyka z odtwarzacza podłączonego do tego modulatora przestała grać ale po...

    Radia Samochodowe Początkujący   21 Lis 2010 18:47 Odpowiedzi: 3    Wyświetleń: 2210
  • Philips pvr + modulator signal - jakość obrazu zależna od kanału na dekoderze

    Witam, Podłączyłem modulator signal przez euro złącze do dekodera philips pvr. Zauważyłem, że obraz z modulatora w instalacji tv jest zależny od wybranego kanału na dekoderze cyfry. Gy jest wybrany np. TVP1 obraz w instalacji telewizyjnej jest bardzo dobry. Gy wybrany jest np canal+ obraz jest znacznie gorszej jakości. Co może być przyczyną tak dziwnego...

    SAT Technika Satelitarna   26 Mar 2011 13:52 Odpowiedzi: 2    Wyświetleń: 1518
  • Alan 28 brak modulacji. Odbiór jest dobry.

    sprawdz czy nie zostało przegrzane trofko modulatora (bywa lekko nadtopione wtedy brak modulacji,dzieje się tak przy zamianie biegunów) przy braku trafa można go przewinąć jest tam niedużo uzwojenia.pozdrawiam.

    Radiotechnika Serwis   03 Cze 2007 16:59 Odpowiedzi: 3    Wyświetleń: 1435
  • Nowe dekodery DVB-T2 HEVC w nowym standardzie z RF Modulatorem

    Dzień dobry. Poszukuję nowego lub nowych dekoderów DVB-T2 HEVC (który będzie przyszłym standardzie DVB-T2 w Polsce) różnych producentów, ale z Modulatorem RF za pomocą którego można połączyć z tradycyjnym kineskopowym telewizorze. Na pewno takie są które już działają i innych krajach europejskich. Również aktualnie produkowanych. Proszę o pomoc.

    RTV nowe produkty i recenzje   03 Sty 2022 15:07 Odpowiedzi: 4    Wyświetleń: 3114
  • Sygnał anteny tv zakłóca sygnał modulatora :|

    W ustawieniach tunera jest zmiana kanału modulatora. Ustawiłem na kanał z dobrą jakością obrazu ale nadal wzmacniacz antenowy wprowadza delikatne zakłócenia. Po odłączeniu zasilacza wzmacniacz obraz jest bez zakłóceń. Ja się pozbyć tych zakłóceń?

    Radiotechnika Serwis   02 Gru 2006 22:26 Odpowiedzi: 14    Wyświetleń: 5328
  • Uniden 520xl - czy to dobry wybór?

    Bardzo dobra jakość Cobry - to chyba wada modulatora.To że Walker jest górna półka , to pierwsze słysze . 520 i 510 nie ma homologacji , to moze byc problem . Radio z prockiem to lipa - komórki , kompy to tez lipa bo maja procki .

    Radiotechnika Co kupić?   16 Lut 2014 09:59 Odpowiedzi: 9    Wyświetleń: 4674
  • Modulator sygnału AC - Opóźnienie czasowe Sygnału

    Co do czasu przesunięcia To zapomniałem napisać "np." przed 0,5s. wystarczy niewiele bo pojazd pracuje Co do obrotów silnika, to pracuje on w granicy 800 obr/min, a dłuższy impuls jest na jeden obrót wału i oznacza on pierwszy cylinder dla zapłonu. Pytania o kształt niestety nie rozumiem i w bawełnę nie będę owijał. Co do wyjaśnienia w jakim to ma być...

    Projektowanie Układów   02 Mar 2014 12:17 Odpowiedzi: 9    Wyświetleń: 1713
  • Neoplan CityLiner 2010 - Zasilanie modulatoru hamulcowego

    Witam na desce mi się wyświetlaja kontrolky abs i ESP. Czasami vyswiwtla komunikat Storong ACC. Kontrolowałem styky kostek, zanieciscen nie ma. Posiada ktoś schemat. Chodzi mi o to kdze prowadzą kable (chyba 4 jeżeli dobrze pamiętam.) Od zasilania modulatoru i następne 2. Wtyczka jest na 4 piny. Dzienkuje.

    Samochody Ciężarowe   28 Paź 2022 16:12 Odpowiedzi: 0    Wyświetleń: 108
  • Modulator do połączenia komputera z TV

    Istotne jest wejście video in i jak juz jeden z kolegów napisal wyżej podlaczyć trzeba między ten pin a masę rezystror 75Ω żeby np taki GForce wykrył telewizor podłączony przez modulator do grafiki.Oczywiście wyjście karty graficznej opisane jako video out lub coposite podłączasz do wejścia modulatora video in,kolor musi byc jesli nie ma to wina...

    DVD/VCR/Kamery/BD Serwis   29 Sie 2009 17:25 Odpowiedzi: 25    Wyświetleń: 9174
  • Modulatory tv z magnetowidu przestrojenie na VHF i to10sztuk

    Zapomnij. Nie zrobisz dobrej instalacji antenowej w ten sposób. Imitacje modulatorów z magnetowidów, oprócz tego że są dwuwstęgowe, promieniują mnóstwo zakłóceń na innych pasmach. Przestrojenie z UHF na VHF - sens i możliwość niewiele większa niż przeróbka diesel na benzynę... Kanałów d. normy OIRT 1...5 nie używa się w instalacjach antenowych. Jak...

    Radiotechnika Serwis   20 Wrz 2008 01:04 Odpowiedzi: 1    Wyświetleń: 1599
  • Modulator Mixpol MDP-6s jak podłączyć ?

    Cześć. Po dzisiejszych walkach skończyłem co chciałem :) Dzięki Waszej wiedzy i metodzie prób i błędów udało się wpuścić sygnał z modulatora już w istniejącą instalacje. Bardzo dziękuje za pomoc :) Odnośnie pomysłu użycia odgałęźnika lub rozgałęźnika mi nie udało się tak zrobić, sygnał był ale jakość sygnału gorsza, możliwe że to kwestia wcześniej nie...

    Instalacje antenowe archiwum   05 Lis 2011 19:29 Odpowiedzi: 8    Wyświetleń: 3809
  • Modulator z magnetowidu - prawidłowe podłączenie jako osobne urządzenie

    Witam! Mam zamiar załatwić sobie modulator telewizyjny wymontowany z magnetowidu. Albo popytam znajomym i coś załatwię, albo kupię na aukcji. W każdym razie chcę pod wystające piny przylutować gniazda czinczowe z tego (oczywiście tylko ucięte dwa kabelki żółty i biały) do których podłącze kabel czincz, a później do np. DVD czy dekodera, a od modulatora...

    Początkujący Naprawy   16 Lip 2013 19:30 Odpowiedzi: 2    Wyświetleń: 2277
  • MAN TGA 390 - Modulator ebs

    W/g mnie wychodzi że 3 rzeczy wchodzą w grę ECU, instalacja i modulator tylnej osi. Z tego co piszesz wszystkie 3 rzeczy były podmieniane lub zmieniane. Wychodzi na to że któraś z tych rzeczy trafiła ci się uszkodzona i skłaniał bym się tu do modulatora. Dobrze by było mieć "dawcę" i sprawdzić sobie podzespoły na sprawnym układzie EBS.

    Samochody Ciężarowe   02 Kwi 2014 16:37 Odpowiedzi: 38    Wyświetleń: 20103
  • syganł SAT + 2x modulator + DVBT

    Oba wejście UHF są identyczne. Do jednego z nich podłączasz antenę naziemną. Mam nadzieje że jest bez wzmacniacza, bo we Wrocławiu sygnały są silne, nawet za jakimś blokiem.... Do drugiego wejścia UHF podłączasz modulatory. Najlepiej byłoby podłączać modulatory poprzez oddzielny sumator, ale można najpierw podłączyć jeden z drugim szeregowo i to co...

    Instalacje antenowe archiwum   04 Kwi 2015 21:50 Odpowiedzi: 7    Wyświetleń: 1590
  • DAf lf45/180 - bark sygnału na modulatorze hamowania

    Witam na razie wiadomo tylko tyle że przedni modulator upuszcza i przednie koło jest na lewarku i trzyma go a przecież jak przedni modulator upuścił to powinno zwolnić koło a tylni modulator nie upuszcza a napięcie jest na nim, pewnie trzeba go oddać do regeneracji. Był elektryk posprawdzał instalacje która jest dobra, modulator padł i instalacja pneumatyczna...

    Samochody Ciężarowe   26 Sie 2016 10:32 Odpowiedzi: 2    Wyświetleń: 1050
  • Jak zrobić pasek informacyjny i gdzie znajdę modulator? Telewizja amatorska.

    Dzień dobry mam pytania dotyczące telewizji amatorskiej. 1. W jaki sposób w telewizji wyświetlane są te paski z literami co lecą na dole lub na górze, w jaki sposób jest nałożone logo jak to jest zrobione czy kamera leci do komputera w trybie "live" i tam przez jakiś program jest nałożona grafika czyli jak mówię te paski i logo programu jak to jest...

    Radiotechnika Serwis   21 Maj 2020 21:25 Odpowiedzi: 3    Wyświetleń: 438
  • Przestrojenie modulatora i tunera

    Dziekuje za pomoc. włożyłem w rdzeń kawałek cyny i modulator zaczął dobrze działać na DK i zalałem to silikonem, teraz pozostaje jeszcze tuner wymienie SAW na 38MHz i teraz tak dolutowywać równolegle SFE 6,5 czy wymieniać i czy wystarczy jak dołoże jeden filtr 6,5 SFE czy tamte dwa pozostałe też muszę wymienic 5,5MW i dyskryminator 5,5 ? Pozdrawiam...

    DVD/VCR/Kamery/BD Serwis   29 Gru 2002 02:44 Odpowiedzi: 16    Wyświetleń: 2966
  • Sanyo VHR-4100 = modulator

    Wymień modulator na inny, pracujący na 2 i 4 kanale. Zabawa z przestrajaniem bez sensu! A przy odrobinie dobrej woli, jestem pewien że ustawisz i nie będzie zakłóceń.

    DVD/VCR/Kamery/BD Serwis   28 Kwi 2003 23:38 Odpowiedzi: 4    Wyświetleń: 1329
  • Modulacja FM - schemat modulatora FM

    Cześć ! Ja bym proponował modulator na PLL. Realizacja prosta - taka jak podaje producent. Sygnał modulujacy podaje się na generator VCO. Karol Bardzo mnie to zainteresowało . Napisz coś więcej na ten temat. Może jakiś schemacik tego modulatora PLL ? Albo napisz coś więcej o modulacji generatora VCO. Piszesz , że to proste , ale nie wszyscy się tak...

    Początkujący Elektronicy   10 Cze 2003 19:44 Odpowiedzi: 5    Wyświetleń: 7396
  • panasonic nv-sj220 zmiana kanału modulatora

    mam pytanie: jak zmienić czestotlowosc nadawania modulatora w tym manetowidzie, w tej chwili nadaje na czestotliwosci jednej ze stacji tv i na ekranie jest kaszana. było wczesniej dobrze ale klient wytesetował ustawienia i mu sie wtedy to stało. vcr nie ma nic czym można w prosty sposób pokręcić i zmienić kanał, nie ma też nic w menu. prosze o pomoc,...

    DVD/VCR/Kamery/BD Serwis   27 Lis 2003 08:55 Odpowiedzi: 2    Wyświetleń: 1468
  • RF modulator

    W twoim pytaniu dobrze myślisz więc: Modulator zmienia sygnał audio-video i podaje koncentrykiem ale na odwrót też działa ponieważ gdy chcesz nagrać coś z tv np. na magnetowidzie to on dzieli sygnał antenowy na audio dla głowicy dzwięku i na video .Kiedyś miałem schemat nadajnika zrobionego właśnie z modulatora starego magnetowidu.Nawet fajnie działało...

    Początkujący Serwisanci   09 Maj 2005 19:57 Odpowiedzi: 11    Wyświetleń: 8679
  • modulator jednowstęgowy

    nie wiem czy to dobry pokoj ale poszukuje oznaczen takich modulatorów lub sprzetu sat lub vcr gdzie mogę je znależć z góry dzięki

    SAT Serwis   16 Maj 2005 22:30 Odpowiedzi: 5    Wyświetleń: 1641
  • Modulator PWM (projekt):)

    witam! poszukuje ukłądu scalonego (dobrze gdyby miał dobrze opisany datasheet) do projektu : modulator PWM z możliwością niezaleznie regulowanego czasu martwego parametry: poziom TTL, 50mA, fs=4kHz, tδ=±0,5%Ts; :)

    Elektro Maszyny i Urządzenia   03 Cze 2005 17:51 Odpowiedzi: 7    Wyświetleń: 5174
  • Modulator z amstrada jakie zasilanie i gdzie?????

    Oj nie jest dobrze :( obraz jest taki sam jak poprzednio niewiem dlaczego, a jezeli sygnal przechodzi przez modulator w magnetowidzie to jest ok ale programy musialbym przełączać na magnetowidzie :( co jest nie tak?? Albo macie jakiś inny pomysł na wzmocnienie sygnału??

    SAT Serwis   23 Cze 2005 00:25 Odpowiedzi: 5    Wyświetleń: 1187
  • Podłączenie modulatora

    Witam Jesli dobrze napisales to brak sygnalu testowego po podpieciu modulatora do zasilania oznacza jego DEATH. Choc nie masz A i V a zasilisz go to na wyjsciu RF do TV musi byc obraz KONTROLNY. Inaczej jest uszkodzony. To tyle z mojej praktyki a wymienilem ich sporo.

    TV Serwis   07 Wrz 2005 03:03 Odpowiedzi: 3    Wyświetleń: 2126
  • Sagem cyfrowy polsat,brak foni z modulatora

    Witam. Montowałem u klijenta CP i okazało sie że niema foni z modulatora(na EURO działa dobrze),dekoder jest nowy.Klijent dzwonił do polsatu to mu powiedzieli że to wina montażu.Czy w ustawieniach tego tunera jest jakaś opcja wyjścia foni z modulatora? Może ktos spotkał sie już z takim przypadkiem.

    SAT Serwis   30 Sty 2006 19:14 Odpowiedzi: 12    Wyświetleń: 1800
  • DVD + VHS z RF modulatorem

    Szukam sprzętu posiadającego mozliwość odtwarzania i nagrywania DVD i VHS, jak również przegrywania w obie strony. Wszędzie jest pełno takiego sprzętu, jednakże bez modulatora RF, czyli sygnał z DVD i VHS wychodzi tylko przez SCART lub S-VHS, bez mozliwości wrzucenia tego sygnału w wyjście antenowe np. na 36 kanał, jak było w starych magnetowidach VHS....

    RTV Co kupić?   14 Lis 2006 22:18 Odpowiedzi: 3    Wyświetleń: 1530
  • Pytanie o modulator Signal Digital Clasic

    ale mój stary magnetowid nie radzi sobie w tej kablówce i następuje przesterowanie Czy przy wyłączonym magnetowidzie (standby) sygnał kablówki jest także zniekształcony? Może należy spróbować "wstroić się" modulatorem magnetowidu w inny kanał? Sprawdź połączenia i wtyki antenowe, czy dobrze łączy ekran (oplot) kabla.

    TV Serwis   29 Lis 2006 07:35 Odpowiedzi: 4    Wyświetleń: 2486
  • Cyfrowy Polsat pod TV, nie działa EURO złącze, modulator MTV 04.

    Witam Wszystkich. Mam taki problem chcę podłączy polsat cyfrowy do tv w którym nie działa EURO złącze. Aby rozwiąza ten problem bez naprawiania tv kupiłem modulator MTV 04 który bardzo dobrze spełnia swoją rolę gdy podłączam do nieg aparat cyfrowy, Cyfrę + itp ale nie kiedy podłączam POLSAT cyfrowy. Tuner POLASU to Echostar DSB 616 który ma wyjście...

    Początkujący Serwisanci   27 Gru 2006 22:57 Odpowiedzi: 6    Wyświetleń: 2391
  • Poszukuje schematu prostego modulatora QPSK

    rownie dobrze mozna elegancko taki modulator wykonac na uladach DDS - przy okazji mozna takze zrobic inne modulacje cyfrowe - kwestia softu pzdr

    DSP i Transmisja   19 Kwi 2007 20:51 Odpowiedzi: 3    Wyświetleń: 1260
  • Modulatory wysokiej częstotliwości

    W magnetowidzie zazwyczaj można przełączać częstotliwość wyjściową fonii(BG/DK) i spróbuj tę właściwośc wykorzystać do sprawdzenia którą fonię Telestarek odbiera dobrze. Będziesz wiedział o jaki modulator pytać(jaką ma mieć podnośną fonii) -czy BG czy DK. Może się okazać, że ta jakość pracy telewizorka to wszystko, na co go stać... Magnetowid rzeczywiście...

    Początkujący Serwisanci   27 Kwi 2007 12:44 Odpowiedzi: 8    Wyświetleń: 3107
  • DSR 5001 Plus - modulator

    No ok, mam jeszcze pytanie odnosnie tego analoga. Dlaczego tak sie dzieje ze sygnal puszczony przez modulator traci na jakosci. Bo to jest tak ze jest to nowy program, cos w stylu jakbym go odbieral ze zwyklej anteny, czy dobrze mysle? I teraz tak: skoro zwykla antena daje dobry obraz na kazdym tv to nie powinno byc tak samo w przypadku satelity? Pytam...

    SAT Serwis   03 Wrz 2007 23:36 Odpowiedzi: 17    Wyświetleń: 3659
  • Modulator INEL MOD-1 - zakłóca TV

    Witam Nie wiedziałem gdzie umieścić ten temat ale myślę że z TV to ma powiązanie więc postanowiłem tu go napisać. Otóż tak mam taki Przeniosłem z TV.

    Inne Serwis   05 Paź 2008 14:02 Odpowiedzi: 1    Wyświetleń: 1709
  • Modulator FSK

    Witam, Zwracam się z prośbą o pomoc w takim oto zagadnieniu. Mam do zaprojektowania układ modulatora FSK na fale długie. Jest to moja praca inżynierska. Poniżej przedstawiam schemat blokowy Układ ma pracować na częstotliwości 80,5kHz z dewiacja 170Hz. Czyli dla „1” logicznej 80,33 kHz i dla „0” logicznego 80,670Hz. Ostatecznie...

    Radiotechnika Serwis   22 Kwi 2008 03:49 Odpowiedzi: 0    Wyświetleń: 2715
  • potrzebna pomoc przy budowie modulatora a/v

    Witam Ten transformator pełni zasadniczą rolę w obwodzie generatora podnośnej audio. Takie transformatory stosowane są w torach pośredniej częstotliwości audio w odbiornikach telewizyjnych. Cewka ma 4 zwoje i nie ma żadnego rdzenia- jest to cewka powietrzna. Typy tranzystorów są podane na schemacie.... Generalnie jednak ten nadajniczek z podanego schematu...

    Projektowanie Układów   21 Maj 2008 09:11 Odpowiedzi: 5    Wyświetleń: 1606
  • Jaki zespół modulatorów do instalacji w Hotelu?

    Witam poszukuje modulatorów do mini hotelu ok 24 kanałów mogą być oczywiście ujęte kanały S ,zaznaczam ze tunery już są analogowe i cyfrowe ale poprzednik zastosował modulatory w standardowych tunerach o jakości z lat 80 nie wspomnę ,chce to wykonać dobrze nie instalacja do odbiorników zrobiona prawidłowo pomiary porobiłem na tłumienie i sygnał ,chodzi...

    SAT Technika Satelitarna   11 Lip 2008 11:53 Odpowiedzi: 5    Wyświetleń: 1524
  • SAT + kablówka + modulatory - projekt instalacji

    Pewnie było by to najlepsze rozwiązanie:) Niestety instalacja jest już położona i zatynkowana - 8 gniazdek. Wszystkie kable doprowadzone do skrzynki. W instalacji będą jeszcze dwa modulatory AV które chciałem puścić wspólnie z kablówką do multiswitch-a na wejście TV :P Dodano po 1 Zrobiłem projekt instalacji... (przerobiony schemat z dipol.com.pl):...

    SAT Technika Satelitarna   05 Sie 2008 10:52 Odpowiedzi: 10    Wyświetleń: 4904
  • Modulator AM dla nośnej 1,5GHz na JFET

    Witam. Co do modulatora AM, to najlepiej jak zrobisz go jako wzmacniacz różnicowy na tranzystorach bipolarnych ze sterowanym wzmocnieniem. Nie ma co się pchać przy tych częstotliwościach w drogie FET-y. Wystarczą 3 BFR-y i będzie grało jak należy, najważniejszą zaletą tego rozwiązania jest bardzo dobra jakość uzyskanej modulacji - małe zniekształcenia....

    Radiotechnika Początkujący   19 Sie 2008 11:51 Odpowiedzi: 6    Wyświetleń: 1541
  • Modulator wpięty w telewizję kablową w domu - śnieży.

    Witam, Mam w mieszkaniu instalację TV kablowej Aster, oraz sygnał satelitarny. Kablówka jest zorszczepiona na 4 telewizory. Kupiłem ostatnio modulator Mezon MTV30 ( Proszę poprawnie wstawić plik graficzny. Tu jest informacja o tym, jak to zrobić: /c2h5oh/

    Radiotechnika Początkujący   19 Wrz 2008 10:56 Odpowiedzi: 5    Wyświetleń: 4054
  • Słaby sygnal po modulatorze

    Rozwiązanie może nie być proste. Musisz "wyczyścić" sobie pasmo tv, poprzez zmianę wzmacniaczy w antenach, czy wymianę samych anten, zastosować wzmacniacz kanałowy lub zwrotnicę kanałową. Najprościej, to przebić się przez zakłócenia anten stosując dobry modulator o dużym wzmocnieniu (np. vectora około 170zł) jednak może to pociągnąć za sobą pogorszenie...

    SAT Serwis   18 Sty 2009 12:36 Odpowiedzi: 9    Wyświetleń: 1320
  • Zlece zrobienia modulatora

    Zlece zrobienie modulatora fm i DARC, dobrze zapłace.

    Ogłoszenia Elektronika   12 Mar 2009 10:07 Odpowiedzi: 0    Wyświetleń: 714
  • czy MODULATOR MDP-8 jest coś warty?

    Witam wykorzystywałem w praktyce ten modulator ( MDP-8S) i sprawował się bez zarzutów i chyba nadal się sprawuje ok. 3 lat bo klient nie zgłaszał żadnej usterki . W tej instalacji ma wpuszczone 5 kamer , tuner SAT -2 szt. i DVD myślę , że dobry wybór , to tyle z mojej strony pozdrawiam GKVIDEO

    SAT Technika Satelitarna   25 Kwi 2014 15:05 Odpowiedzi: 3    Wyświetleń: 2228
  • flying injection brc schemat modulatora

    W łaśnie w tym jest problem że kable fioletowe idą do komputera BRC a nie do wtryskiwaczy. Wydaje mi się że chyba trzeba dać sobie z nimi spokój i je zostawić a podpiąć się od nowa bez pośrednio we wtryskiwaczach dobrze myśle? 1)Mogę się wpiąć 2 kable z modulatora do 2 kabli z jednego wtryskiwacza? i tak wszystkie 4 zrobić? bez grzebania w te fioletowe...

    Samochody Instalacje Gazowe   03 Mar 2011 21:18 Odpowiedzi: 16    Wyświetleń: 6191
  • Jaki najlepszy modulator rf - kryterum jakość obrazu

    Potrzebuję zamienić dobrej jakości sygnał s-video lub scart i wpuścić go w koncentryk z najlepsza możliwą jakością. Dlatego szukam dobrego modulatora rf! Użytek jedynie prywatny, jedno mieszkanie, 2 x TV na kablu może kiedyś 3 TV. (dodam że w kablu nie będzie żadnego sygnału kablówki, czy czegoś podobnego, modulator + rozgałężniki - to wszystko co będzie...

    RTV Użytkowy   12 Maj 2010 01:22 Odpowiedzi: 6    Wyświetleń: 3140
  • [Kupię] Modulator Zura + Głośnik

    Poszukuje używanego modulatora, dobrze znam stronę producenta a jesli piszę żeby był sprawny to chyba nie chodzi mi o nowy? :O

    Ogłoszenia Elektronika   06 Sie 2011 21:11 Odpowiedzi: 3    Wyświetleń: 1650
  • Gdzie w instalację wpiąć modulator Audio-Video

    Pomyśl dlaczego na modulatorze masz napisy WE i WY odnosi się to również do gniazdek RTV. W jedną stronę sygnał idzie dobrze a w przeciwną ma duże problemy. Filtry.

    Połączenia urządzeń   14 Wrz 2011 15:21 Odpowiedzi: 3    Wyświetleń: 1741
  • Instalacja antenowa w bloku - modulator

    Wiec tak zakupiłem 2 dekodery DVBT i modulator 2 wejściowy mixpol. Ustawiłem kanały na modulatorze : K24 oraz K41 ponieważ na tych kanałach odbierane były kanały TVP1 oraz TVP2 ze zwykłej anteny. Aby uniknąć przestawiania odbiorników w całym bloku chciałbym aby jeden dekoder pracował na kanale K24 a drugi K41. Na dekoderach ustawione kanały TVP1 oraz...

    Instalacje antenowe archiwum   04 Gru 2011 13:53 Odpowiedzi: 17    Wyświetleń: 4470
  • Modulator z mieszaczem i sumatorem nośnej, jaki to modulator?

    Witam ponownie. Już po egzaminie, znowu miałem ten przykład. Może komuś się przyda. Za mieszaczem powinien być sygnał z(t), który będzie modulacją AM dwuwstęgową bez fali nośnej, s(t) zaś jest sygnałem z dodanym prążkiem fali nośnej (jeśli dobrze rozumiem, będzie AM dwuwstęgowa z falą nośną). Nie spodziewałem się tak zawiłego schematu modulatora AM,...

    Nauka Elektroniki, Teoria i Laborki   08 Lut 2013 23:16 Odpowiedzi: 1    Wyświetleń: 1320
  • Unitra Vela T206 - Stary TV + modulator = brak fonii

    Witam Mam telewizor Unitra Vela T206 . Czarno biały 14". Z tyłu jedynie wejście antenowe. Kupiłem Modulator TV Maximum RF4000 UHF Obraz jest a z głośnika dobiega jedynie szum. Jaki modulator mam kupić aby to dobrze działało? Dodam, że nie interesuje mnie zakup nowego telewizora. Ten jest mi potrzebny do zadawania szyku :) Karawaning zabytkowym zestawem.

    Połączenia urządzeń   14 Mar 2013 17:56 Odpowiedzi: 8    Wyświetleń: 1950
  • Stary poczciwy kineskopowy telewizor. Dorobić Euro czy kupić modulator ?

    Mam stary kineskopowy monofoniczny telewizor Sony, który posiada wejście antenowe oraz dwa wejście po niskiej częstotliwości Video In raz Audio In. Nie chcę go jeszcze wyrzucać i zastanawiam się w jaki sposób najlepiej (i tanio) przystosować go do odbioru naziemnej telewizji cyfrowej: 1.Poszukać elektronika który dorobi mi w nim gniazdo Euro? 2.Kupić...

    RTV Co kupić?   23 Mar 2013 22:17 Odpowiedzi: 14    Wyświetleń: 9399
  • Modulator Terra MT-11P - instrukcja ?

    Witam. Dostałem od znajomego modulator Terra MT-11P, lecz nie potrafie go dobrze zaprogramować. Czy posiada ktoś do niego instrukcję ?

    TV Szukam   03 Lip 2015 19:09 Odpowiedzi: 2    Wyświetleń: 3456
  • jak podłączyć modulator antenowy?

    Nie zakładałbym tematu, gdybym wiedział jak mam podłączyć ten modulator. W temacie, gdzie znalazłem informacje o nim był pokazany całkiem inny model modulatora, było też inne rozłożenie styków. Znajdż schemat urządzenia z którego wymontowałeś modulator - tam znajdziesz odpowiednie informacje. Ewentualnie dokonaj pomiarów w układzie (ale to na dobrą...

    Początkujący Naprawy   24 Wrz 2013 18:24 Odpowiedzi: 21    Wyświetleń: 5244
  • Jak podłączyć modulator ZURA 5120? Przetwornica z 12 V na 24V.

    Nie wiem czy post umieszczony jest z dobrym dziale za co z góry przepraszam i proszę o przeniesienie. Chodzi o modulator zura 5120 na 24 V. Instalacja w aucie posiadam na 12 V. Chciał bym go podłączyć lecz nie wiem czy dobrze myślę: Zastosować przetwornicę 12/230 następnie trafo 230/24 a następnie jakiś mostek prostowniczy? Jeżeli ktoś robił już coś...

    Początkujący Naprawy   24 Sie 2015 15:47 Odpowiedzi: 7    Wyświetleń: 804
  • Modulator TV MDP 8S - Modulator TV MDP 8S + tv

    to wiem lecz czym dokładnie Sumatorem. Resztę ponoć już wiesz. Wiem ze sumatorem reszty nie wiem. czy taki będzie dobry na wyjściu zamierzam dać zasilacz antenowy czy będzie dobrze ? później muszę to rozesłać na 3 tv jakich użyć rozgałęźników gdy to będzie na zasilaczu?

    Połączenia urządzeń   03 Lut 2015 22:21 Odpowiedzi: 12    Wyświetleń: 984
  • Modulator głosu nie tylko na imprezę

    Witam Wszystkich, Chciałbym przedstawić mój, w zasadzie niedokończony projekt (prototyp) sprzętowego modulatora głosu z 2004r. Projekt ten powstał w czasach, gdy jeszcze zajmowałem się DJ-ką i miał na celu sprawdzenie czy za niewielkie pieniądze (np. z kitów) możliwe byłoby wykonanie urządzenia o powiedzmy zadowalających parametrach , które potrafiłoby...

    DIY Poczekalnia   30 Paź 2015 18:24 Odpowiedzi: 0    Wyświetleń: 5736
  • Dostęp do kanałów z modulatorów za pomocą modułów CAM

    Witam, czy idzie zrobić tak żeby dostęp do kanałów które lecą przez modulatory były dostępne za pomocą modułów CAM ? Pozdrawiam 3.1.15. Nie pytaj od razu na forum, jeśli samemu nic wcześniej nie sprawdziłeś lub nie podjąłeś próby samodzielnej diagnozy. Pamiętaj, że porady są udzielane w sposób zdalny przy braku fizycznego dostępu do urządzenia, problem...

    SAT Początkujący   12 Lut 2016 14:49 Odpowiedzi: 6    Wyświetleń: 927
  • Jakiego modulatora użyć do starego telewizora.

    Pasmo "I" i "II"to kanały 1-5 a pasmo "III" to kanały 6-12. "U" to kanały 21-60 (w latach 70). Teraz to pasmo jest do kanału 68. Wygląda na to że masz jeszcze fonię do przestrojenia. W tamtych czasach różnicowa fonii u nas wynosiła 6,5Mhz (produkowany na nasz rynek w/ standardu OIRT, a telewizor w/g CCIR na rynek zachodni), a na zachodzie 5,5Mhz, tak...

    RTV Co kupić?   10 Lip 2017 19:22 Odpowiedzi: 24    Wyświetleń: 2664
  • Uprościć instalację: 2 telewizory, cyfra+, modulator

    Dzien dobry, Czy jest sposób uprościć instalację tv jak na narysowanym schemacie? Problem polega na tym że zastosowany modulator ze złączmi euro ledwo kontaktuje mam go powiązanego na gumki żeby kintaktował :-( poza tym obraz z dekodera jest kiepski. Jedyna łączność między telewizorami jest przez kabel antenowy (nie mam możliwości położenia innego kabla)....

    Instalacje antenowe początkujący   21 Gru 2018 21:51 Odpowiedzi: 10    Wyświetleń: 465
  • Trailor 2005r - uciekające powietrze z modulatora

    Pewnie uszkodzony modulator jakies uszczelnienia poszly jak bawisz sie recznym naczepowym to dzialasz na inny uklad i dlatego jest dobrze dobrze jakbys podal jaki tam jest uklad abs czy ebs bo w francuzach to roznie bywalo

    Samochody Ciężarowe   07 Sty 2020 22:35 Odpowiedzi: 12    Wyświetleń: 789
  • Jak rozdzielić sygnał z modulatora DVB-T Signal-400 dla dwóch TV?

    O ile dobrze rozumiem, o czym piszesz, to zamiast specjalistycznych urządzeń, potrzebne są splitter i dwa odbiorniki DVB-T. Pierwszy odbiornik ustawiasz na częstotliwość dodatkowego sygnału z Twojego modulatora, a drugi odbiornik ustawiasz na wszystkie kanały z pominięciem tego dodatkowego. Czy o to własnie chodzi? Również, jak potrzebujesz obraz do...

    Instalacje antenowe DVB-T   24 Lut 2021 13:18 Odpowiedzi: 2    Wyświetleń: 444
  • Jakie dekodery z modulatorem do telewizora Neptun 150 podłączane na kabel cinch / scart / hdmi?

    Miałem jeden magnetowid bez sekcji RF - nie miał w ogóle tunera jak i modulatora. Był to Panasonic NV-W1 :) Rozwiązanie z magnetowidem jest tak trochę na okrętkę - kolejny klocek musi stać i być zasilany. Równie dobrze można z niesprawnego magnetowidu wyjąć modulator i zamontować w dekoderze (o ile jest w nim tyle miejsca). Zazwyczaj jest to metalowa...

    RTV Użytkowy   19 Wrz 2020 21:22 Odpowiedzi: 7    Wyświetleń: 711
  • Buderus FC2520 - częste załączanie co 40 minut, nie reaguje na zmianę ustawień modulatora 18111

    Czyli wiemy, że usterka jest po stronie grzania ciepłej wody, a nie po stronie modulatora. Żadnego wymiennika nie trzeba wymieniać. Teraz mamy dwie opcje. Pierwsza to uszkodzony termostat. Ten na panelu Komfort - Eko Druga to cieknąca ciepła woda lub jej ruchy spowodowane skokami ciśnienia. Dobrze byłoby wykonać jeszcze test, o którym pisałem na początku....

    Systemy Grzewcze Serwis   29 Paź 2020 09:19 Odpowiedzi: 16    Wyświetleń: 834
  • Uciekające powietrze do 6b w Atego 1223 2004r - winny modulator czy zawór 6-drożny?

    Dzień dobry, mam taki problem w Atego 1223 2004r, po postoju godziny/dwóch powietrze schodzi do 6b, potem dobija i można ruszać ale to już nudne się robi codziennie więc czas się za to zabrać, wczoraj leżąc pod autem usłyszałem dziwne dzwonienie z tego modulatora co wrzuciłem zdjęcie. Czy to przez niego ucieka to powietrze czy może to być zawór 6ścio...

    Samochody Ciężarowe   26 Paź 2020 16:17 Odpowiedzi: 4    Wyświetleń: 699
  • Gdzie znajdę schemat prostego modulatora AM? Chcę zbudować radio.

    Działających układów jest dużo; kwestia, na ile dobrze ma działać, i na ile ma być prosty, bo to raczej sprzeczne jedno z drugim. Skoro masz generator nośnej, to wypada dać wzmacniacz, którego wzmocnienie będzie zależne od sygnału modulującego - to da się zrobić na jednym tranzystorze, wystarczy mu podać na bazę sygnał akustyczny i nośną - ale to będzie...

    Początkujący Elektronicy   10 Maj 2022 17:31 Odpowiedzi: 4    Wyświetleń: 417
  • Neptun 505 color: Jak wybrać odpowiedni modulator?

    Witam Panów i Panie, wygrzebałem ostatnio na strychu pierwszy mój telewizor Neptun 505 color. Ku mojemu zdziwieniu po podłączeniu wygląda na to że działa. Jednak posiada on jedynie złącze antenowe RF, czytałem że da się dokupić konwerter więc kupiłem najtańszy ale okazało się że nie działa bo ma nie ten rodzaj modulacji. Czytałem różne fora ale nigdzie...

    TV CRT Serwis   30 Gru 2023 19:59 Odpowiedzi: 28    Wyświetleń: 717
  • Philips VR-171 strojenie modulatora

    O ile dobrze pamiętam, jest to w instrukcji obsługi, wykonuje się to z "pilota", a zmiana jest możliwa w całym paśmie, od 1 do 69-tego kanału.

    DVD/VCR/Kamery/BD Serwis   21 Wrz 2003 18:46 Odpowiedzi: 9    Wyświetleń: 2476