REKLAMA

filtr antyaliasingowy

Znaleziono około 95 wyników dla: filtr antyaliasingowy
  • filtry Pośredniej częstotliwości

    Rodzaje stosowanych filtrów: LC - dawniej prawie wyłącznie takie stosowano, obecnie dość rzadko (alb w roli jakiegoś pomocniczego filtru) W zasadzie były dwa rodzaje: 1) filtry typu "transformatorowego" - najpowszechniej stosowane. Właściwie to był transformator rezonansowy, czyli uzwojenie pierwotne połączone równolegle z kondensatorem tworzyło obwód...

    Początkujący Elektronicy   25 Gru 2005 21:16 Odpowiedzi: 4    Wyświetleń: 4402
  • Filtr antyaliasingowy. Jakie rozwiązanie będzie najlepsze?

    Witam chce "zbudowac" w.w filtr , będzie sie znajdował przed wejściem do A/C w ATmega8 - czestotliwosci odcęcia okolo 50-70Hz - sygnał jest wolnno zmienny - prubkowanie sygnału co 0,1ms - mile widziana szybka charakterystyka opadania waham sie przed takimi opcjami: - lepiej zbudowac taki flitr samemu na jednym wzmaczniaczu operacyjnym? - czy np. zaimplementować...

    Mikrokontrolery   30 Cze 2006 22:40 Odpowiedzi: 5    Wyświetleń: 3611
  • REKLAMA
  • Filtry korekcyjne - norma ISO 8041 (implementacja cyfrowa w LabVIEW)

    Witam, aktualnie konstruuję pół-przenośne urządzenie do pomiaru drgań. Pół-przenośne, bo płytka z mikrokontrolerem, który programuję w LabVIEW jest zasilana z usb. Do pomiaru wykorzystuję czujnik przyspieszenia na EVAL-ADXL325Z, następnie układ kondycjonujący zasilany bateriami, bądź z płytki ewaluacyjnej - wzmacniacz skalujący, filtr antyaliasingowy...

    DSP i Transmisja   03 Kwi 2012 21:26 Odpowiedzi: 0    Wyświetleń: 2132
  • symulacyjny model filtru polifazwoego

    Shg, co do filtra górnoprzepustowego, no jeśli filtr dolnoprzepustowy ma stałe i rórne zero przesunięcie fazowe to będzie działać jak złoto na moje oko nie da się tego tak zrobić, ale spec nie jestem). Nie wiem, na symulacji wszystko działa jak trzeba. Ale zauważyłem coś ciekawego. Tak zrobiony filtr wcale nie daje na wyjściu tego, co wyciął filtr...

    DSP i Transmisja   03 Maj 2007 11:41 Odpowiedzi: 164    Wyświetleń: 69201
  • REKLAMA
  • filtr max293 czy max297 do analizatora widma - jak działa?

    1. U Chana jest coś takiego, czy będzie to odpowiednie w moim wypadku? Będzie dobre. Czy w tym przypadku przejście sygnału przez wzmacniacz operacyjny działa jak filtr antyaliazingowy? Dobre pytanie. Idealny wzmacniacz operacyjny nie będzie działał jak filtr. Rzeczywiste wzmacniacze natomiast mają to do siebie, że ich charakterystyka częstotliwościowa...

    DSP i Transmisja   18 Gru 2013 09:12 Odpowiedzi: 22    Wyświetleń: 9561
  • Filtr antyaliasingowy i przetwornik A/C

    Jaki filtr antyaliasingowy musze zastosowac na wejsciu do przetwornika A/C (12 bit SAR model mcp3202) zeby pozbyc sie tkzw. "szpilek"?Przetwornik ma funkcje sample&hold i jak trafi w taka szpile to moze byc problem. Jestem zupelnie 'zielony" w tej kwestii. Wiem ze ma byc dolnoprzepustowy,ale dlaczego?Jakie pasmo przenoszenia? Jaki model moze mi ktos...

    Mikrokontrolery   27 Lis 2008 11:17 Odpowiedzi: 8    Wyświetleń: 9514
  • Filtr antyaliasingowy

    Witam! Musz zbudować wyżej wymieniony filtr. Zaprojektowałem go sobie w filterlabie(f. czebyszewa 6-biegunowy) i złożyłem na LM324. Niestety nic mi się niezgadza. Spadek3dB miał być na 7000Hz a jest jużna 1kHz. Miałem już przypadek że ten program źle mi policzył parametry do filtru Bessela, ale tam mi się udało to poprawić. Może mógłby mi ktośpomógł...

    DSP i Transmisja   31 Sty 2006 09:00 Odpowiedzi: 9    Wyświetleń: 5167
  • Filtr antyaliasingowy

    Potrzebuje zbudowac filtr antyaliasingowy dla przetwornika DAC (Czest odciecia ok 1-2 KHz) mam jednak pewne wymagania potrzebuje miec plask charakterystyke fazowa. Z tego co wiem to taka charakterystyke mozna osiagnac filtrem eliptycznym. Roniez wiadomo mi ze niezbedne jest charakterystyka amplitudowa o stromosci ok 24 dB na dekade. Ma ktos jakas idee...

    DSP i Transmisja   30 Sty 2006 17:40 Odpowiedzi: 1    Wyświetleń: 1273
  • Czy konieczyny jest filtr antyaliasingowy przy fp=100hz ???

    Witam Chcę próbkować z częstotliością 100Hz sygnał z czujnika np. ciśnienia z wyjściem 0-5V za pomocą A/C wbudowanego w ATmega8. Czy muszę stosować filtr na wejściu (np. RC R=48kOhm i C=100nF)? W czym może taki filtr pomóc a być może dla wyjść 0-5V z czujników nie ma potrzeby stosowania żadnego filtru?

    Mikrokontrolery   01 Gru 2006 17:25 Odpowiedzi: 4    Wyświetleń: 1256
  • REKLAMA
  • Jak zrealizować filtr antyaliasingowy do oscyloskopu cyfrowe

    Witam. Buduje obwód wejściowy dla oscyloskopu cyfrowego o częstotliwości próbkowania 500Msamp/s. Chcę zbudować (jako włączaną przekaźnikiem opcję) filtr anty-aliasingowy na częstotliwość około 60MHz-100MHz, specjalnie tak 'nisko' (mniej niż 250MHz) żeby mieć pewien oversampling. I teraz pytanie: jak zrealizować ten fltr? Raczej jako Sellen-Key drugiego...

    DSP i Transmisja   01 Kwi 2007 16:30 Odpowiedzi: 2    Wyświetleń: 1272
  • Filtr antyaliasingowy -Matlab

    Potrzebuje zaprojektowac filtr antyaliasingowy w Matlabie. Tzn mam zrobic skrypt, w którym wykorzystam filtr dolnoperzpustowy do tłumienia wyższych częstotliwości powyżej częstotliwości Nyquista. Czy ma ktoś może materiały na ten temat ,podobny skrypt,albo chociaż wie jak trzeba napisać ten skrypt,żeby mnie nakierować w tym temacie. Z góry dziękuję...

    DSP i Transmisja   23 Maj 2007 04:14 Odpowiedzi: 1    Wyświetleń: 2148
  • Filtr dolnoprzepustowy - dźwięk PWM.

    Na wejściu zastosowałem dzielnik napięcia z PWM, następnie jest wtórnik napięciowy i kondensator ucinający składową stałą. To całkiem spory kawałek układu "ukryłeś" ;), duża część nie potrzebna, a nic nie wspomniałeś o istnieniu układu polaryzacji stałoprądowej dla filtru, co tam robi wtórnik, czy jest symetryczny i wystarczająco szybki? Przebieg następnie...

    Początkujący Elektronicy   03 Mar 2013 22:54 Odpowiedzi: 8    Wyświetleń: 3363
  • REKLAMA
  • Aktywny filtr antyaliasingowy dla sygnału ze źródła prądowego

    Witam, czy mógłby ktoś podpowiedzieć jak zrobić filtr antyaliasingowy na jednym wzmacniaczu dla sygnału ze źródła prądowego? Chciałbym użyć TPS2420 (), który ma wyjście prądowe Imon proporcjonalne do prądu wyjściowego -> Imon=Iout/66k (str.5 w dokumentacji). Jeśli planowany zakres Iout to 0-1A, to Imon maksymalnie osiągnie 15uA. Żeby uzyskać z tego...

    Projektowanie Układów   04 Kwi 2017 11:40 Odpowiedzi: 8    Wyświetleń: 1767
  • Projektowanie filtrów cyfrowych

    Jestem poczatkujacy w dziedzinie zabawy z DSP, wiec wybaczcie jezeli moj problem okaze sie lamerski :) Projektuje filtr cyfrowy Chebyshev I na ukladzie ATmega 128. Filtr antyaliasingowy itd. opanowalem. Wygenerowalem odpowiedni kod za pomoca programu Filter Solutions, który znajduje sie ponizej: float DigFil(float invar, float initval, int setic)...

    DSP i Transmisja   21 Sty 2009 19:48 Odpowiedzi: 9    Wyświetleń: 10284
  • Aktywny dolnoprzepustowy filtr Bessela 48dB/okt.

    Powyższy aktywny filtr dolnoprzepustowy można wykorzystać jako filtr antyaliasingowy umieszczony przed przetwornikiem A/D lub też jako filtr wygładzający usytuowany za przetwornikiem D/A. Stosuje się go również w układach, gdzie nie przepuszcza szumów związanych z przełączaniem elementów aktywnych (szum ten występuje dla częstotliwości w okolicach...

    Artykuły   09 Paź 2009 16:05 Odpowiedzi: 4    Wyświetleń: 7431
  • Dobór filtra FDP do układu z czujnikiem wilgotności dołączonego do NI-USB

    Po co Ci filtr antyaliasingowy do czujnika ciśnienia ? Będziesz badał składowe częstotliwości dla wilgotności ? :) A może będziesz próbkował wolniej niż ciśnienie się będzie zmieniało ? :) Przepraszam - pytanie wywołało uśmiech. Jeśli masz taki czujnik to na jego wyjściu jest już "filtr" przeciwzakłóceniowy. Napewno w tym przypadku nie musisz się bać...

    DSP i Transmisja   11 Cze 2012 15:06 Odpowiedzi: 5    Wyświetleń: 1617
  • Filtr dolnoprzepustowy do przetwornika A/C

    Witam próbuje ostatnio zrobić filtr dolnoprzepustowy antyaliasingowy dla częstotliwości próbkowania 100 Hz. Układ zaprojektowany z wykorzystaniem narzędzia filterlab. Schemat poniżej Po zmontowaniu jednak okazało się że układ daje większy sygnał na wyjściu (pomimo że wzmocnienie ma być 1). Zapewne zrobiłem gdzieś błąd na PCB więc proszę o spojrzenie...

    Projektowanie Układów   24 Kwi 2013 20:30 Odpowiedzi: 6    Wyświetleń: 2511
  • Atmega8 filtr selektywny. Potrzebne jest wąskie pasmo. Dźwięk z piezoelektryka.

    nie znalazłem Goertzel algorithm w jezyku bascom. Po co Goertzel? On jest dobry do wyłowienia kilku częstotliwości spośród całego spektrum. Tu wystarczy tylko cyfrowy filtr środkowoprzepustowy. DXFM, są gotowe analizaotry widma które pracują w całym spektrum dźwiękowym słyszalnym OK, w nocie stoi napisane: The ADC accuracy also depends on the ADC clock....

    Mikrokontrolery   17 Sty 2012 20:17 Odpowiedzi: 19    Wyświetleń: 3218
  • Filtr dolnoprzepustowy do przetwornika A/C

    Jeżeli chcesz to zrobić porządnie, to zastosuj jakiś scalony przetwornik z wbudowanym filtrem antyaliasingowym, np. serii PCM18xx produkcji Texas Instruments. Filtry antyaliasingowe w tych przetwornikach są kombinacją filtru cyfrowego i analogowego, do tego dochodzi oversampling. Wszystko to razem zapewna bardzo dobry sygnał bez stosowania zewnętrznego...

    Projektowanie Układów   11 Mar 2007 11:24 Odpowiedzi: 5    Wyświetleń: 2397
  • Filtr dolnoprzepustowy i szumy

    shg: ja też buduje filtr antyaliasingowy, dałem na wyjściu potencjometr 10K ale niestety nie poprawiło to niczego. Dalej na wyjściu mam poważny szum. FFT z tego sygnału to nieporozumienie. Możesz mi dać namiar na schemat filtru jaki używałeś w swoim układzie? Pozdrawiam.

    DSP i Transmisja   06 Kwi 2007 01:39 Odpowiedzi: 7    Wyświetleń: 2264
  • Kombinacja PWM oraz filtra dolnoprzepustowego

    Ok, w takim razie, o ile dobrze liczę filtr MAX7403ESA+ (8 rzędu) powinien sobie znacznie lepiej poradzić z tłumieniem od 6.4 do 7.5 kHz? Fakt, przykładowo sygnał o częstotliwości 8.6kHz zobaczysz jako alias 6.4kHz ale będzie stłumiony o jakieś 50dB nawet jeśli poziom tego sygnału przed filtrem będzie porównywalny z pełną skalą przetwornika to po filtrze...

    Projektowanie Układów   24 Lut 2013 22:30 Odpowiedzi: 11    Wyświetleń: 4506
  • Poradnik projektowania filtrów antyaliasingowych

    Przetworniki analogowo-cyfrowe (ADC) z oversamplingiem wsparte cyfrowym filtrem decymującym znacznie upraszczają projektowanie filtrów antyaliasingowych. Architektura oversamplingowa przenosi częstotliwość Nyquista dalej od interesującego nas pasma sygnału, a cyfrowy filtr decymujący tłumi bardzo skutecznie niepożądane składowe w sygnale wejściowym....

    Artykuły   24 Lis 2015 15:10 Odpowiedzi: 0    Wyświetleń: 2448
  • Tory sygnałowe AC i DC - prostsze niż kiedykolwiek

    Próbkowanie w przetwornikach analogowo-cyfrowych (ADC) powoduje problemy zarówno zniekształcenia sygnałów (aliasing), jak i odbicia pojemności próbkującej. Do rozwiązania tych problemów projektanci używają filtrów antyaliasingowych i wzmacniaczy sterujących, co stanowi dla projektanta dodatkowe wyzwanie. Okazuje się, że stworzenie precyzyjnego toru...

    Artykuły   14 Wrz 2020 15:52 Odpowiedzi: 0    Wyświetleń: 2796
  • FFT 8192pt lub większa wykorzystując 1024pt

    Zapomniałem o tym wspomnieć. Dobrze, że zwróciłeś na to uwagę :) Po próbkowaniu 300 kHz po zastosowaniu filtru antyaliasingowego masz w sygnale pasmo które Cię interesuje, załóżmy 0 - 200 Hz + bezużyteczne dane 200 Hz - 150 kHz. Przed decymacją koniecznie należy przepuścić sygnał przez programowy filtr LP o częstotliwości odcięcia 200 Hz. Potem dopiero...

    Mikrokontrolery   27 Sty 2012 11:16 Odpowiedzi: 9    Wyświetleń: 1980
  • 44,1 Khz - próbkowanie na CD

    TU SĄ WYJAŚNIONE NIEŚCISŁOŚCI w powyższych opisach CD próbkuje z częstotliwością 44100 Hz ale pasmo przenoszenia przenoszenia wynosi zwykle od 20 Hz do 20 kHz (± 0.1÷0.3dB). Dolna częstotliwość wynika z pojemności szeregowych w torze analogowym i bezsensu wzmacniania składowych poniżej dolnej granicy słyszenia. Górna częstotliwość wynika z realizowalności...

    Początkujący Elektronicy   31 Sty 2005 17:32 Odpowiedzi: 6    Wyświetleń: 2624
  • podpięcie mikrofonu do mikroprocesora

    Po pierwsze mikrofony elektretowe są różne. Tanie mają charakterystykę powyginaną jak kończyny paralityka. Te lepsze są względnie płaskie, oczywiście w ograniczonym pasmie. Myslę, że jeżeli chcesz zrobić coś mierzącego w miarę dokładnie (np. +/-1.5dB), to wyżej 16kHz na elektrecie (i to dobrym) nie pojedziesz. Do zabawy dokładność rzędu 3dB też jest...

    Mikrokontrolery   26 Mar 2008 11:09 Odpowiedzi: 23    Wyświetleń: 3560
  • Stroik gitarowy na układzie ATmega16 - pytania

    Moja koncepcja: - Mikrofon. - Wzmacniacz. - Filtr antyaliasingowy w okolicy 660Hz (struna e) np. MAX29x. - ADC, próbkowanie 2kHz (więcej nie trzeba, a i liczenia mniej będzie). - Mieszacz kwadraturowy (programowy), sinus i cosinus generowane na zasadzie DDS. - Filtr dolnoprzepustowy na wyjściach I i Q mieszacza, pasmo przenoszenia małe, może z 10Hz,...

    Mikrokontrolery   11 Wrz 2007 02:28 Odpowiedzi: 20    Wyświetleń: 7349
  • Multiefekt gitarowy

    Witam, Na uczelni mam do zrealizowania projekt multiefektu do gitary. Nigdy nie robilem praktycznej aplikacji z przetwarzania sygnalow, wiec chcialbym zaczerpnac rady od bardziej doswiadczonych:D Uklad mam zrealizowac na ukladzie Freescale'a (Motoroli) mikrokontrolerze sygnalowym dsp56f805. Ponizej przedstawiam schemat blokowy rozwiazania ktore sobie...

    DSP i Transmisja   08 Lis 2006 16:32 Odpowiedzi: 0    Wyświetleń: 1311
  • Obwód wejsciowy do przetwornika AC

    Wypada dac filtr antyaliasingowy. Z Tw. Kotelnikowa-Shannona filtr musi tlumic skladowe widma rowne polowie czestotliwosci probkowania i wyzsze, czyli filtr dolnoprzepustowy najlepiej II rzedu Czebyszewa. Czebyszewa zeby podbic kraniec pasma przepusczania. Wynika to z tw. wyzej wymienionego, gdyz probkujesz impulsami o pewnej szerokosci a nie Diracami...

    Początkujący Elektronicy   23 Lut 2007 20:00 Odpowiedzi: 10    Wyświetleń: 1161
  • W jaki sposób ocenić utratę dokładności sygnału po przejściu przez układ?

    Syg. wejściowy jest zakresie od 0 do 10V. Skąd wiedziałem, że dzielnik i wtórnik? Sam to wymyśliłem, żeby połączyć źródło syg. z ADC. No jak podali ci zakres napięcia, to rzeczywiście nie ma co kombinować inaczej. Chciałem, żeby ścieżka od źródła syg., którego parametrów nie znam do ADC była jak najbardziej optymalnie wykonana, Optymalizacji tu żadnej...

    Początkujący Naprawy   18 Mar 2012 08:51 Odpowiedzi: 9    Wyświetleń: 1689
  • Jak oszacowac pobór prądu przez opamp?

    -> Jarik ponieważ przez transformator składowa stała nie przejdzie, więc jeśli jakikolwiek offset jest, należy go usunąć programowo. -> narasta Da się zrobić dzielnik dwóch napięć (mierzone i offset) łącząc trzy rezystory, a później policzyć choćby z zasady superpozycji. Tutaj da się prościej, wystarczy drugi koniec transformatora i przekładnika podłączyć...

    Początkujący Naprawy   22 Lut 2012 15:41 Odpowiedzi: 14    Wyświetleń: 2611
  • Pomiar prądu w układzie wzmacniacza różnicowego.

    Jakim napięciem będziesz zasilał OP07CP? Jaki zakres częstotliwości wchodzi w grę? Jeżeli zasilanie <6V, to układ nie będzie działał. Jeżeli powyżej, bliżej +/- 12..15V, to wtedy napięcie wyjściowe będzie wynosiło mniej więcej: Uwy = VSS + Offset_wyjścia_niski + (Offset_we + Uwe) x R2/R1, o ile R2 będzie mieć wartość >10k. Ja bym użył dedykowanego...

    Projektowanie Układów   18 Lut 2016 12:46 Odpowiedzi: 20    Wyświetleń: 2829
  • Front End na przetworniku delta-sigma

    Witam postawiono mnie przed zadaniem wykonania toru pomiarowego do pewnego urządzenia na bazie przetwornika ADS1178. Narzucone są też częstotliwości próbkowania: 10, 50, 100, 500 i 1000 Hz. Układ zostanie podłączony do mikrokontrolera. W wymaganiach zaznaczono, że na wejściu przetwornika ma znaleźć się antyaliasingowy filtr przełączalny LPF 10, 50 i...

    DSP i Transmisja   16 Sie 2010 11:10 Odpowiedzi: 0    Wyświetleń: 1023
  • Odbiornik szerokopasmowy z przetwornikiem 12 bit 500 Msps

    Układ którego schemat pokazany jest na pierwszym obrazku składa się z ADA4960-1 i AD9434. Pierwszy z tych dwóch układów to ultraniskoszumny wzmacniacz różnicowy a AD9434 to przetwornik analogowo cyfrowy o rozdzielczości 12 bitów i prędkości próbkowania 500 milionów próbek na sekundę (Msps). Filtr Butterwortha trzeciego rzędu, znajdujący się w układzie,...

    Artykuły   18 Lut 2012 16:17 Odpowiedzi: 0    Wyświetleń: 3767
  • SP5WW z DDS-em

    Patrząc na charakterystykę tego filtra zastanawiam się czy nie jest on trochę za szeroki, o ile dobrze widzę to do 50Mhz przepuszcza wszystko - więc jest raczej mało "pasmowy". Nieuważnie przeczytałeś opis tego filtra, dołączony przez c2h5oh . Napisał on wyraźnie, że jest to filtr wyjściowy DDSa - tzw. filtr antyaliasingowy i ma on właściwą do swej...

    Radiotechnika Serwis   27 Kwi 2005 16:54 Odpowiedzi: 39    Wyświetleń: 28450
  • Jak poprawic ch-ke przenoszenia wzm. Push-pull 2xEL84?

    Dokladnie, wydaje mi się ze kolega KaW po prostu nie przeczytal mojego posta - przeciez pisalem ze pomiary wykonuje przy pomocy SB Live, wiec jak wg Ciebie moge zmierzyc pasmo do 40kHz, jezeli probkuje 48kHz, wiec mam filtr antyaliasingowy na wejsciu karty ok 24kHz? Poza tym analogowka SB live'a jest podla i wiadomo, ze nie wykonam tym sensownych pomiarow....

    Elektronika Retro   28 Sty 2008 11:11 Odpowiedzi: 45    Wyświetleń: 13408
  • Potrzebna pomoc w Dyskretnej Transformacie Fouriera

    A masz gotowca :P Zaimplementowana jest FFT, długość bufora wynosi 128 próbek. Bufory są dwa, podobnie jak wejścia. Do ADC0 Doprowadza się sygnał przepuszczony przez filtr antyaliasingowy (dolnoprzepustowy) 16kHz (próbkowanie 32kHz, przetaktowany ADC), takie też jest pasmo analizatora. Do wejścia ADC1 doprowadza się sygnał przepuszczony przez filtr...

    DSP i Transmisja   23 Lut 2010 14:22 Odpowiedzi: 45    Wyświetleń: 16678
  • Obsługa czujnika tensometrycznego-Jak?

    Zależy od charakterystyki czasowej obciążenia. Jeżeli będzie się zmieniać powoli to tak, ale jeżeli jest to "szpilka" to może wykryć conajwyżej przypadkiem. Pasmo teoretycznie masz 40Hz, w praktyce ze względu na niedoskonałości filtrów trzeba by je ograniczyć do 30, moze nawet 20Hz (zależy jaki filtr). Można przed przetwornikiem dać detektor szczytowy...

    Mikrokontrolery   10 Lip 2007 11:48 Odpowiedzi: 22    Wyświetleń: 8821
  • rejestracja dźwięku do pamięci uC

    doczytałem, że filtr antyaliasingowy to scalak mający na celu wyrównać tkz. szpilki? (trochę o stabilizacji miałem na szczęście w szkole, że o zasilaczach nie wspomnę) dwubiegunowy filtr aktywny to zapewne jakiś wzmacniacz. czy w którymś miejscu tego układu będę potrzebować generator? Jeśli tak to jaki? sinusoidalny, prostokątny? yy... wiem, że to absurd...

    Projektowanie Układów   12 Lut 2008 14:39 Odpowiedzi: 9    Wyświetleń: 2838
  • Jak zasymulować próbkowanie sygnału?

    IIR to ogólnie mówiąc spory problem. Wymaga dużej dokładności obliczeń, najlepiej float, co w czasie rzeczywistym jest na AVR mało realne. Można też przeprowadzać obliczenia stałoprzecinkowe, ale takie filtry powinny być bardzo starannie przetestowane przed użyciem. Można FIR, bez problemu da się uruchomić na stałym przecinku i mogą okazać się wydajniejsze...

    DSP i Transmisja   04 Lip 2008 20:32 Odpowiedzi: 15    Wyświetleń: 3533
  • [M8/t2313]mikrofon. Obliczanie czestotliwosci.

    No to masz dwa sposoby: analogowy i cyfrowy. 1. Analogowy: mikrofon, wzmacniacz operacyjny, linia transmisyjna, wzmacniacz operacyjny, głośnik. 2. Cyfrowy: mikrofon, wzmacniacz operacyjny, filtr antyaliasingowy, driver przetwornika, przetwornik A/C, procesor odczytujący strumień danych z przetwornika i przesyłający go dalej poprzez medium transmisyjne...

    Mikrokontrolery AVR   17 Sie 2010 10:43 Odpowiedzi: 6    Wyświetleń: 1185
  • Cyfrowy efekt delay - opóźniacz sygnału audio

    Niniejszy projekt pokazuje, jak zbudować urządzenie opóźniające sygnał audio nawet do 8 sekund w stosunku do sygnału wejściowego. Na wyjściu układu pojawia się opóźniony sygnał audio, w zależności od pojemności pamięci i szybkości próbkowania – o od 3 do 8 sekund. Takie urządzenie może być wykorzystane do generowania echa, jako urządzenie typu...

    DIY Zagranica   11 Wrz 2012 16:59 Odpowiedzi: 0    Wyświetleń: 5796
  • Wzmacniacz Px-3 . Px-4

    Wzmacniacz ciekawy. Co prawda układowo mocno przesadzony. Wzmacniacz operacyjny też mi się śrenio widzi bo jest najwolniejszym elementem w układzie. Jaką to mocą dysponuje? Jakie ma zniekształcenia? Jakość prostokąta zależy tylko i wyłącznie od pasma wzmacniacza. Piszesz, że przenosi do 250kHz prostokąt. No ja powiem, że i więcej. Aby prostokąt wyglądał...

    DIY Akustyka   22 Lip 2015 20:14 Odpowiedzi: 43    Wyświetleń: 33024
  • Ekranowanie z blachy ocynkowanej.

    Bo o tych 50Hz to ja mówiłem, że sygnał mierzony może taki być i rozumiem, że o to chodziło, nie o częstotliwość zakłóceń. Bo rozumiem, że jak jest jakieś zakłócenie z zewnątrz o np. 100Hz i ono mi będzie zakłócać sygnał, a ja mam przed ADC np. filtr dolnoprzepustowy o progu 60Hz to nie mam się co przejmować tymi zakłóceniami? W układach o dużej czułości...

    Początkujący Elektronicy   02 Sty 2014 17:08 Odpowiedzi: 3    Wyświetleń: 1947
  • Układ kondycjonowania sygnału -

    -zakres częstotliwości = nieznany To nie dobrze, bo od tego zależy czy filtr antyaliasingowy może być prostym filtrem pasywnym, czy musi być wielki rozbudowany np filtr aktywny 10 rzędu. Znaczenie może mieć też rodzaj i rozdzielczość przetwornika. -może wystąpić składowa stała Z tego nie wynika czy trzeba ją przenieśćczy usunąć. Do czego to ma być,...

    Projektowanie Układów   18 Maj 2014 13:59 Odpowiedzi: 2    Wyświetleń: 1185
  • denon dcd-655 wzamacniacze operacyjne

    Hej Czerwone to bufory a zielone to filtry pasmowe. Bufor ?? A od kiedy to wejściem bufora jest wejście odwracające ?? Niech kolega zauważy, że w tym CD przetwornik cyfrowa na analog (PCM1702) ma wyjście typu prądowego. A to oznacza, że IC313 to nic innego jak książkowy przykład wzmacniacza transimpedancyjny. Czyli mówiąc potocznie mamy tam przetwornik...

    Początkujący Elektronicy   07 Mar 2015 13:31 Odpowiedzi: 5    Wyświetleń: 1434
  • Analizator Bodego na STM32F407 z płytki Discovery

    Analiza urządzeni w przestrzeni częstotliwości, jest niezwykle istotne dla zbadania wielu jego parametrów. Poznanie charakterystyki Bodego czy funkcji przejścia układu jest dosyć prostym pomiarem, który zrealizować można z pomocą zaprezentowanego poniżej urządzenia. Jak pokazuje uproszczony schemat po prawej stronie, przez mierzony układ przepuszcza...

    DIY Zagranica   28 Cze 2018 14:40 Odpowiedzi: 1    Wyświetleń: 3873
  • Generator Rigol DM811 - problem z generowaniem sin dla zakresu 100mV pp

    Ja psioczę na coraz gorsze filtry antyaliasingowe i czasem niemożliwe do wyłączenia sin(x)/x bo dzięki tej kombinacji nie wiadomo co widać na ekranie. A zrobić filtr antyaliasingowy do ADC 8bit 250 MSps i 100 MHz pasma utrzymać to bardzo ciężki problem. Nie mówiąc of FNIRSI - filtr 100 MHz pasma na 100 czy 200 MSps przetwornika :D Takie rzeczy tylko...

    Warsztat elektronika   20 Lut 2023 21:33 Odpowiedzi: 28    Wyświetleń: 732
  • Sekrety oscyloskopów cyfrowych - poradnik (nie tylko) dla początkujących, cz. 2

    Tanie czy nie, mim zdaniem elektronik zawsze powinien mieć w głowie, że jeśli ogląda przebieg przetworzony AC mógł wystąpić aliasing i przeanalizować to co widzi pod tym względem. (ciach) W oscyloskopie już nie jest tak prosto, bo o ile można zrobić filtr policzony na max częstotliwość próbkowania to co jeśli ją zmieniamy? Czy jak w markowym oscyloskopie...

    Poradniki Elektronika   13 Lip 2023 00:44 Odpowiedzi: 222    Wyświetleń: 7407
  • Jakie IGBT z Vge do 5 V do projektu z ATmega 328P do 200 W?

    Próbkowanie prądu wydaje mi się ze zgodne z opóźnieniem 100 ms. Częstotliwość PWM 7.8 kHz . Nie jest odporne, prąd wzrasta. Kiedy częstotliwość próbkowania jest dużo niższa od częstotliwości PWM może wystąpić zjawisko aliasingu, to znaczy zaobserwujesz częstotliwości których nie ma w sygnale zależnie od tego czy pomiary wpadają częściej w "dołek" czy...

    Początkujący Elektronicy   22 Sty 2024 12:20 Odpowiedzi: 13    Wyświetleń: 396
  • schemat układu z mikrofonem pojemnościowym

    no więc musisz zrobić odpowiedni przedwzmacniacz - w zależności od rozdzielczości i innych parametrów przetwornika AC. Do tego ogranicznik napięcia lub limiter, filtr antyaliasingowy i to byłoby chyba wszystko.

    Projektowanie Układów   14 Paź 2004 13:09 Odpowiedzi: 20    Wyświetleń: 12863
  • GNU Octave - Czy ktoś umie w tym robic programiki??

    Potrzebuje pomocy w zrobienu programiku ilustrującego istote aliasingu i jego likwidacja poprzez filtr antyaliasingowy(dolnoprzepustowy)

    DSP i Transmisja   05 Sie 2005 00:26 Odpowiedzi: 2    Wyświetleń: 2058
  • ADC w Atmega8 zczytuje dziwne wartości z czujnika Sharp GP

    znalazlem ciekawą stronkę gp2d12 Mam zamiar mierzyć dokladnie napięcia na wyjściu czujnika za pomocą zewnętrznego przetwornika i mam pewne wątpliwości: Czy w celu eliminacji zaklóceń, szumów wystraczy kondensator 4.7µF między wyjście a GND? Czy warto zastosować filtr antyaliasingowy dolnoprzepustowy, zrobić więcej pomiarów w szasie 40ms odrzucać...

    Robotyka Modelarstwo i CNC   08 Lis 2006 23:33 Odpowiedzi: 22    Wyświetleń: 3736
  • Zadanie z cyfrowego przetwarzania sygnałów

    F(jw)=1/Tp*X[j(w-kwp)] wzor ten wyprowadzony jest dla idealnego probkowania (tzn. impulsami Dirac'a); w-pulsacja, wp-probkowania pulsacja,Tp=2PI/wp. Zapis [w-kwp] ze widmo oryginalne(sygnalu probkowanego) powiela sie wokol czestotliwosci kwp (k=...,-2,-1,0,1,2,..). Widmo po sprobkowaniu jest przeskalowanie o wartosc 1/Tp w stosunku do oryginalnego (chodzi...

    Początkujący Elektronicy   05 Lip 2007 15:37 Odpowiedzi: 12    Wyświetleń: 1335
  • karta dzwiękowa na rs232 ???

    Mam komputerek przemysłowy na procesorze ARM, ubogo wyposażony a chciałbym dorobić do tego moduł dźwiękowy. Jedyna możliwość komunikacji to RS232. Czy macie jakieś pomysły, układy które to realizują ??? Najprościej byłoby dać jakiś uC z UARTem i PWMem (lub zewnętrznym DACem na drabince R-2R). Jeśli ograniczysz się do formatu 8-bitów, mono, próbkowania...

    DSP i Transmisja   28 Wrz 2009 14:01 Odpowiedzi: 7    Wyświetleń: 1833
  • Budowa aparatu EEG - Przetwornik A\C

    Dziękuje za odpowiedzi. Zabezpieczenie ma ochraniać przed ESD i teoretycznie chronić użytkownika. Jeśli chodzi o filtr antyaliasingowy to większość przetworników sigma-delta posiada wbudowany DP filtr cyfrowy i z niego chciałbym skorzystać do ochrony przed Aliasingiem. W urządzeniach EEG z znajduje się także filtr GP, który filtruje składową stałą odkładającą...

    Projektowanie Układów   28 Lut 2010 13:11 Odpowiedzi: 18    Wyświetleń: 10849
  • W jaki sposob podać 220V na przetwornik A/C?

    Możesz a nawet musisz użyć dzielnika. Transformatora nie możesz użyć bo transformator (nieobciążony niczym) by Ci zmienił kształt przebiegu ("usinusoidalnił" go w przypadku gdyby na wejściu przebieg nie był sinusoidą). Ale poza dzielnikiem to jeszcze filtr antyaliasingowy i elementy zabezpieczające (diody 1N4148 do szyn zasilania albo diody Transil...

    Mikrokontrolery   26 Kwi 2010 15:40 Odpowiedzi: 8    Wyświetleń: 1275
  • 6N16B obliczenie punktu pracy

    No to Tobie lampa na wyjściu przetwornika jest zbędna. Chyba że zrobisz przetwornika ze wzmacniaczem mocy lampowym. To ma jakiś sens. Wtórnik na wyjściu (ala prosiaczek) nic nie daje. Nawet tzw dźwięku lampowego nie doda. Jeśli już to stopień wzmocnienia np. triody o dużym mu i dużej ri (ECC83...). Ale 2V rms to za dużo. Pentoda da więcej 2 harmonicznej...

    Elektronika Retro   18 Mar 2011 10:48 Odpowiedzi: 7    Wyświetleń: 3628
  • Dobór przetwornika ADC - parametry

    Jak zmontuję układ, to będę robił testy i sprawdzę czy uśrednianie coś daje czy nie. Ostatecznie: 1) jako filtr antyaliasingowy zastosuję RC na 16Hz 2) zastosuję pewnie również filtr cyfrowy, wbudowany w przetwornik ADS1247 3) być może zastosuję uśrednianie. Dziękuję serdecznie za pomoc :) W razie dalszych wątpliwości będę pisał w tym temacie.

    Mikrokontrolery   23 Lip 2011 10:34 Odpowiedzi: 29    Wyświetleń: 3992
  • Analiza napięcia zmienn.w czasie z przetwornika A/C - rozkład na częstotliwości

    Zastosowałem lepszy filtr aktywny antyaliasingowy, oraz podałem na we całą sinusoidę - i dalej to samo.. Coś może z algorytmem tym nie tak.. No właśnie, jaki zastosowałeś filtr? Jaka częstotliwość odcięcia, rodzaj charakterystyki? Tak jak pisze krru, lepiej sobie odpowiednio daleko odsunąć f_3dB od twojego pasma użytecznego (żeby nie mieć zafalowań...

    Mikrokontrolery   20 Lut 2012 18:59 Odpowiedzi: 55    Wyświetleń: 6082
  • Pomiar drgań czujnikiem ADXL

    Akcelerometr na pewno ma ograniczone pasmo, nawet bez kondensatora na zewnątrz, spodziewał bym się częstotliwości niewiele wyżej od 1,6kHz. Powinno to przy dużych częstotliwościach powodować rozbieżności względem 1/(2*pi*R*C). A jednak zbyt dokładnie pasuje 3nF->1659Hz (katalogowo 1600) 3,3nF->1508Hz (twój przypadek) 10nF->498Hz. Czyżby częstotliwość...

    Początkujący Elektronicy   29 Kwi 2012 09:49 Odpowiedzi: 56    Wyświetleń: 8958
  • Kondycjonowanie sygnału 230V AC dla przetwornika A/C w STM32

    Zakładam konieczność sprawdzania podłączenia fazy i przewodu neutralnego. Jeśli obudowa będzie miała dobrą izolację to możesz nawet nie sprawdzać, niebezpiecznie robi się przy uruchamianiu, zalecam podłączyć przez transformator separujący. Myślałem o zrobieniu separacji galwanicznej ale zauważyłem, że układy Analog Devices mierzące parametry sieci...

    Projektowanie Układów   28 Mar 2013 17:07 Odpowiedzi: 5    Wyświetleń: 2907
  • Mobilny dwukanałowy oscyloskop z wykorzystaniem układu FPGA

    Witam! Opisany tutaj projekt jest moją pracą inżynierską na Politechnice Wrocławskiej dlatego nie będzie to opis bogaty w schematy i pliki źródłowe :) Schemat blokowy: ZASILANIE Całe urządzenie zasilane jest z akumulatora Li-ion, który to jest doładowywany przez układ MCP73837, po podłączeniu pod gniazdo USB lub zewnętrzny zasilacz. Proces ładowania...

    DIY Konstrukcje   08 Maj 2013 08:29 Odpowiedzi: 12    Wyświetleń: 22503
  • Odszedł Joseph Grado - wynalazca stereofonicznej wkładki gramofonowej MM

    I może właśnie dzięki tym niedoskonałościom, płyta winylowa brzmi lepiej, niż CD? Gdybym był złośliwy (zdarza mi się czasem bo jestem życiowym frustratem) to zgłosiłbym ten wpis do moderatora na podstawie zapisów regulaminu: 3.1.7. Rozpowszechnianie treści o obraźliwym charakterze, bądź naruszających obowiązujące standardy etyczne. 3.1.9. Rozpowszechnianie...

    Newsy   23 Lut 2015 09:20 Odpowiedzi: 10    Wyświetleń: 3318
  • Atxmega128A3U - kalibracja ADC

    Witam szanownych forumowiczów. Mam problem z konfiguracją kanałów ADC wynik mojego pomiaru wyświetlam na wyświetlaczu HD44780 2x16. Wyświetlany ma być na razie stopnie przetwornika ADC. Zanim przejdę do konkretów opiszę co i jak. Załączam również schemat mojego urządzenia. Za pomocą modułu X3-DIL64 chce mierzyć napięcie i prąd z aktywnego obciążenia...

    Mikrokontrolery AVR   14 Paź 2017 14:07 Odpowiedzi: 23    Wyświetleń: 2064
  • Przenośny dwukanałowy oscyloskop cyfrowy na FPGA & ARM (max 250MSPS/kanał)

    Witam! Opisany tutaj projekt jest był pracą inżynierską na Politechnice Wrocławskiej dlatego w niektórych kwestiach jest trochę niedojrzały (Teraz kilka rzeczy bym poprawił - człowiek całe życie się uczy - o tym poniżej) :) Schemat blokowy: ZASILANIE Całe urządzenie zasilane jest z akumulatora Li-ion, który to jest doładowywany przez układ MCP73837,...

    DIY Konkurs   22 Sty 2018 21:36 Odpowiedzi: 50    Wyświetleń: 18192
  • 24 bitowa karta pomiarowa ADC - LTC2400+MAX6350

    Kondensator na wejściu to nie filtr antyaliasingowy To jest delta-sigma. Te ADC nie wymagają filtrów (z DS tego przetwornika): "One of the advantages delta-sigma ADCs offer over con- ventional ADCs is on-chip digital filtering. Combined with a large oversampling ratio, the LTC2400 significantly simplifies antialiasing filter requirements. (...) As...

    DIY Konkurs   27 Paź 2017 21:31 Odpowiedzi: 13    Wyświetleń: 5055
  • Sygnał audio w mikrokontrolerach

    Przeglądnąłem TME, niestety nie mogę się doszukać, zazwyczaj drivery takie jak na przykład IR2111 są na wyższe napięcia. Są na wyższe niż 5v bo po prostu w większości stosowanych mocowych mosfetów napięcia bramkowe są wymagane dużo większe niż te 5V. Tak że te na 5V to sprawa raczej niszowa. Jeśli się uprzeć, to z takich na 5V w TME są chyba jedynie...

    Początkujący Elektronicy   09 Paź 2018 00:43 Odpowiedzi: 15    Wyświetleń: 546
  • Analizator widma audio na Arduino

    Czy na wejściu tego typu analizatorów powinien być filtr antyaliasingowy?

    DIY Zagranica   03 Sty 2020 19:43 Odpowiedzi: 22    Wyświetleń: 31875
  • Oscyloskop Hantek DSO2D10 czy DSO2D15 - porównanie pasma i próbkowania

    500 MSps i pasmo 150 MHz.. 3.3 próbki na okres. Zaiste szał :) Chciałbym zobaczyć ten filtr antyaliasingowy który tam powinien być. A tak serio, obejrzyj jakieś recenzje i przemyśl zakup. Bawiłem się HANTEKiem MPO6204D. Mało co z opcji ponad podstawowych tam działa, ergonomia jest tragiczna, wiele za założeń projektowych to dramat.

    Warsztat co kupić?   13 Kwi 2021 12:43 Odpowiedzi: 14    Wyświetleń: 3003
  • Rzadko zadawane pytania: przetwornik ADC Sigma-Delta z ciągłym czasem

    Pytanie: Dlaczego powinienem rozważyć użycie przetworników ADC CTSD, aby ulepszyć projekt mojego toru sygnałowego? Odpowiedź: Topologia CTSD oferuje możliwości optymalizacji łańcuchów sygnałowych poza tradycyjną architekturą przetworników analogowo-cyfrowych (ADC). Wiele współczesnych aplikacji wymaga coraz mniejszych rozmiarów, ale nadal potrzebuje...

    Artykuły   03 Sty 2022 00:37 Odpowiedzi: 0    Wyświetleń: 915
  • problem z dobraniem rezystorow i kondensatorow do FDP

    Witam. Jestem nowym uzytkownikiem, ale ze wzgledu na brak czasu nie udalo mi sie przejrzec wiekszosci tematow - byc moze moje pytanie juz bylo zadane. Tak czy inaczej musze zbudowac FDP antyaliasingowy. pomijam podstawowe rzeczy jak parametry, fgr itp. gdyz nie o to bede pytal. otoz wyznaczylem sobie rzad filtru, bieguny, wyznaczylem H(s) filtru i dobralem...

    Początkujący Elektronicy   26 Maj 2009 14:36 Odpowiedzi: 1    Wyświetleń: 660
  • Rozdzielanie masy w układzie aktywnego obciążenia

    Dodatkowo kilka uwag do schematu Dzięki za uwagi. U mnie napięcie odniesienia będzie wynosić 2.5V a więc LSB to ~2.5mV. W przypadku redukcji szumów, prosty filtr RC coś pomaga? Na wyjściu wzmacniaczy pomiarowych jest podłączany jumperami układ antyaliasingowy. Brakuje np. diody Zenera ograniczającej maksymalne napięcie na wejściu opampa. Nie dałem...

    Projektowanie Układów   09 Gru 2020 16:38 Odpowiedzi: 21    Wyświetleń: 600
  • Zakłócenia w pomiarze, termopary typu B.

    Jeśli chodzi o separację galwaniczną, to nie wiem; sygnał przechodzi przez przetwornik analogowo cyfrowy, procesor i przetwornik cyfrowo analogowy. Może można by pokombinować z filtrem antyaliasingowym, choć nie wiem, czy to coś pomoże. Zresztą z poziomu tego oprogramowania, które posiadam nie ma dostępu do tak głębokich opcji. Naprawdę, wolałbym zastosować...

    Automatyka Przemysłowa   14 Sie 2009 09:21 Odpowiedzi: 6    Wyświetleń: 2894
  • Dwa nowe układy pozwalają podejść na nowo do generatorów sygnału

    Dawniej najtrudniejszą częścią projektu generatora funkcyjnego była realizacja stopnia wyjściowego. Typowy generator sygnału oferuje wyjście o szerokim zakresie amplitud, od 25 mV do 5 V. Aby sterować obciążeniem o impedancji 50 Ω, tradycyjne generatory wykorzystują rozwiązania dyskretne, równolegle połączone ze sobą układy scalone lub dedykowane...

    Artykuły   21 Paź 2014 15:25 Odpowiedzi: 0    Wyświetleń: 3519
  • Analiza FFT, Określenie parametrów

    Niekoniecznie. Karty z reguły mają filtr antyaliasingowy, który stoi bardzo wysoko, żeby umożliwić próbkowanie sygnału z maksymalna przewidzianą częstotliwością próbkowania. Zajrzyj do schematu karty - jeżeli przetwornik to sigma-delta (jest to bardzo prawdopodobne), to na pewno ma oversampling, filtr antyaliasingowy analogowy, ewentualnie aktywny i...

    DSP i Transmisja   28 Wrz 2016 13:20 Odpowiedzi: 10    Wyświetleń: 6972
  • XM - scope 3, czyli Oscyloskop cyfrowy AVR - v3.0 BETA

    Piotr_pp i kedzi1 to ta sama osoba? Pisz z jednego konta bo ciężko się połapać tak na zmianę. Obcinanie raz na wejściu to jedna sprawa, można to zrealizować prostym filtrem aktywnym na max częstotliwość w paśmie oscyloskopu, ale żeby to był dobry filtr antyaliasingowy to musi on być przestrajany wraz ze zmianą częstotliwości próbkowania. Tutaj się...

    DIY Warsztat   14 Sty 2023 01:11 Odpowiedzi: 159    Wyświetleń: 115706
  • Rozdzielczość przetwornika A/C, a jego stosunek sygnał/szum.

    Witam Trapi mnie jeden problem, którego nie mogę ogarnąć rozumem. Jest sobie przetwornik analogowo-cyfrowy o rozdzielczości 14-bit. Daje mi to teoretycznie dynamikę 20log(2^{14}) = 84dB . Teraz jednak dziwi mnie jedna rzecz. Niech za przykład posłuży AD9252. I teraz: na co mi aż 14 bitów, skoro ADC ma SNR na poziomie 73 dB w paśmie Nyquista? Daje mi...

    Początkujący Elektronicy   23 Wrz 2012 15:09 Odpowiedzi: 0    Wyświetleń: 1704
  • Mikrofon elektretowy + mikrokontroler

    Zalezy co chcesz zrobić z sygnałem po digitalizacji... W sumie każda obróbka sygnału w domenie cyfrowej wymaga filtów antyaliasingowych. Potrzebujesz wzmocnić i odfiltrować sygnał z mikrofonu. Zaden ADC nie będzie prawidłowo konwertować sygnału z elektreta bez wzmacniacza mikrofonowego. Potrzebujesz siłą rzeczy mieć te kilkaset mVp-p aby zrobić cos...

    Projektowanie Układów   11 Paź 2013 18:30 Odpowiedzi: 6    Wyświetleń: 2685
  • Nowy, wolny od aliasingu przetwornik ADC od Analog Devices

    Firma Analog Devices przedstawiła właśnie nowy przetwornik analogowo-cyfrowy (ADC), który wyróżnia się na tle innych przetworników brakiem aliasowania. Układ AD7134 znacznie upraszcza projektowanie front-endów analogowych i skraca czas wprowadzania na rynek precyzyjnych aplikacji w zakresie od DC do 350kHz. Tradycyjne, żmudne projektowanie łańcucha...

    Newsy   22 Paź 2020 09:08 Odpowiedzi: 0    Wyświetleń: 840
  • [STM32] akwizycja danych - czy to zadziała? - początkujący

    Bardzo dziękuję wszystkim za odpowiedzi :) To jest projekt zaliczeniowy na uczelnie, nie placa dyplomowa. Uważam, że nieco mnie przerasta i już spędziłam mnóstwo czasu próbując zgromadzic wszystkie niezbedne informacje i zrozumieć jak to wszystko działa. A czas ten, niestety nie jest adekwatny do moich postępów.. Dlatego też szukam jak najprostszego...

    Mikrokontrolery ARM   10 Gru 2010 20:38 Odpowiedzi: 12    Wyświetleń: 3386
  • Przyczyny błędu aliasingu w przetwarzaniu sygnałów

    Od moich studiów już kilka lat minęło ale z tego co pamiętam (ekspertem tej dziedziny nie byłem nigdy zbyt wielkim) to alisaing występuje wtedy gdy nie jest spełnione twierdzenie o próbkowaniu Kotelnikowa-Shanona mówiące, że częstotliwość próbkowania musi być conajmniej dwukrotnie większa od najwyższej częstotliwości sygnału (harmonicznej). Tak więc...

    DSP i Transmisja   21 Kwi 2013 19:54 Odpowiedzi: 3    Wyświetleń: 2826
  • Miernik poboru energii elektrycznej

    IMO nie jest to potrzebne - w tym układzie, o ile jest poprawny, zmierzę max wartość prądu i napięcia, przesunięcie między nimi, to chyba wystarczy do wyznaczenia mocy? Ale tracisz uniwersalność, układ nie będzie poprawnie mierzył prądów odkształconych. Zależy mi bardzo na opinii, czy ten układ nie wybuchnie Nie wybuchnie ale użycie dwóch wzmacniaczy...

    Projektowanie Układów   11 Sty 2014 11:55 Odpowiedzi: 9    Wyświetleń: 3930
  • [AUDIO] 5-cio pasmowy korektor parametryczny z rejestratorem stereo

    Dobrze. Rozumiem, że to będzie kompletna symulacja przetwarzania A/D i D/A. Proszę byś nie zapomniał zaimplementować w programie filtrów antyaliasingowych. Widzę, że zrozumiałeś i teraz się czepiasz i szukasz dziury w całym ;-) Nie nie będzie to kompletna symulacja z filtrami bo do udowodnienia tego, że robisz źle nie jest takowa potrzebna. Nie zmienia...

    DIY Konstrukcje   19 Lis 2018 10:32 Odpowiedzi: 64    Wyświetleń: 8844
  • Do 30 listopada 2023 jest promocja na oscyloskopy Rigol serii DHO 1000 - 12-bitowy oscyloskop

    Zasadniczo jeden dodatkowy bit uzyskuje się za każde zmniejszenie o połowę przepustowości zakresu. Dlatego, aby osiągnąć 4-bitowy wzrost przetwarzania, należałoby zmniejszyć szerokość pasma oscyloskopu w stosunku 16:1. Jeżeli, na początku dysponujesz zakresem 1 GHz to zwiększenie rozdzielczości do 12 bitów w trybie wysokiej rozdzielczości spowoduje...

    Projektowanie i Tworzenie Po godzinach   14 Mar 2024 11:07 Odpowiedzi: 229    Wyświetleń: 8268
  • Potrzebuje pomocy w rozwiazaniu zadan z przewornikow AC !!!

    Oto zadanka: 1. Oblicz minimalna rozdzielczosc czestotliwosci probkowania oraz zakres napiec wejsciowych przetwornika AC ktory mozna wykorzystac w ukladzie sekwencyjnym o akwizycji trzech nastepujacych sygnalow: - U(0-2)V; f(0, 200Hz); u1=0,2% - U(-4, 4)V; f(100, 5000Hz); u2=0,1% - U(-10, 10V); f(0, 2000Hz); u3=0,5% Jeżeli dobrze rozumiem mamy do czynienia...

    Początkujący Elektronicy   05 Cze 2005 15:56 Odpowiedzi: 2    Wyświetleń: 750
  • 1 bitowy przertwornik C/A - o co chodzi ...

    Obecnie niemal wszystkie przetworniki A/C i C/A w technice audio robi się 1-bitowe (sigma-delta), ale z co najmniej 256-krotnym nadpróbkowaniem (oversamplingiem). Niewiele firm (zwykle są to konstrukcje high-end dla audio-onanistów) stosuje przetworniki równoległe ze względu na koszty oraz konieczność stosowania filtrów antyaliasingowych o bardzo stromych...

    Audio Serwis   23 Sty 2006 07:00 Odpowiedzi: 2    Wyświetleń: 783
  • Rejestrowanie dźwięku przy pomocy mikroprocesora

    W Elektronice praktycznej z września i października 2006 był artykuł o przetwarzaniu A/C dźwięku. Dotyczył gł. technik przetwarzania i filtrów antyaliasingowych, ale warto poczytać zanim się ktoś zabierze za budowę. Sam kiedyś spróbuje. :D

    Początkujący Elektronicy   13 Gru 2006 22:51 Odpowiedzi: 7    Wyświetleń: 1154
  • Układ mikroprocesorowy do dokładnego pom. nap. przemiennego

    A tak w ogóle to co chcesz mierzyć? Jeżeli to napięcie sinusoidalne (albo mało odkształcone), to True RMS się sprawdzi. Zakres 2kV sugeruje, że może to być napięcie dosyć mocno odkształcone (zasilające silniki, pracujące na wysokim napięciu). W takim przypadku właściwą dokładność zapewnią ci tylko techniki DSP. Czyli, przetwornik, o częstotliwości próbkowania...

    Mikrokontrolery   29 Sty 2008 13:09 Odpowiedzi: 29    Wyświetleń: 3441
  • Analizator urządzeń / systemów audio

    owszem - filtr rekonstrukcyjny (jak i filtr antyaliasingowy) to po prostu filtr dolnoprzepustowy - czyli LPF. pomiar wartosci harmonicznych i wyliczenie THD nie bylyby zbyt skomplikowane. jest ogolnie bardzo wiele rzeczy, ktore to urzadzenie mogloby dodatkowo realizowac, ktore bylyby bardzo przydatne itp., ale jak wiadomo czas jest nieublagany - gdybym...

    DIY Konstrukcje   30 Paź 2008 17:36 Odpowiedzi: 30    Wyświetleń: 13964
  • Karta akwizycji danych - ATMEGA"X"

    Dobrałem wstępnie kontroler xmega32a4-au , ma USB i chyba obejdzie się bez programatora ( tak wynika wg. mnie z dokumentacji FLIP'a ) , wykonałem również testowe urządzenie na kontrolerze atmega16 i okazało się że największym problemem są zakłócenia , a szybkość na kanał ( dla 3 kanałów) uzyskałem na poziomie 3 tyś. próbek ( komputer protestował przy...

    Mikrokontrolery AVR   10 Mar 2013 23:04 Odpowiedzi: 24    Wyświetleń: 4116
  • Wzmacniacze operacyjne - duzy pobór prądu i dziwne zachowanie

    Tak, klucze elektronicznie są właśnie w multiplekserze. Tam po prostu masz tranzystorki. Czyli używając ich w torze o wysokiej impedancji stracisz część pasma. Dlatego korzysta się z nich jedynie w torach niskiej impedancji. Kluczem elektronicznym/tranzystorem mozesz również wybierać filtrację analogową (np zmieniając częstotliwość próbowania powinieneś...

    Początkujący Elektronicy   16 Lut 2018 16:36 Odpowiedzi: 61    Wyświetleń: 2619
  • Pomiary w cieczach - od wody do krwi

    Określanie składu i jakości materiałów ciekłych jest niezbędne w wielu różnych zastosowaniach. Najbardziej znanym przykładem jest woda - najcenniejszy surowiec na świecie. Technologia oczyszczania wody czy filtrów do niej odgrywa ważną rolę na całym świecie i jest niezbędna nam do życia. Dostęp do stale malejących zasobów czystej wody jest coraz ważniejszym...

    Artykuły   27 Sty 2020 19:19 Odpowiedzi: 9    Wyświetleń: 3429
  • Jak to właściciwie jest z przetwarzaniem A/C i C/A?

    Witam, ludzki umysł często zawodzi i prowadzi na manowce, dlatego matematyka jest jakby "protezą" na mózg, którą posługujemy się, aby go wspomóc i zrozumieć otaczający nasz świat. Niestety dowód twierdzenia Nyquista?Shannona jest jednoznaczny, że tak jest, że wystarczy 2× większa częstotliwość próbkowania do odwzorowania sygnału ciągłego (analogowego)...

    Nauka Szkolnictwo   08 Lis 2022 15:29 Odpowiedzi: 6    Wyświetleń: 330
  • Oscyloskop Cyfrowy LCD Przenosny 100MHz - Opinie i Doświadczenia Użytkowników

    DPO6204EDU oscyloskop 4 x 200MHz Taa. Piękny przykład robienia z klienta debila. 250 Msampli na sekundę i 200 MHz pasma. 1 i jedna czwarta próbki na okres. Żal i smutek. Zero filtrów antyaliasingowych i adekwatna wierność rejestracji przebiegów. Bajery typu dotykowy wyświetlacz są super, ale czy nie lepiej nowego rigola DHO800 albo DHO900 w zależności...

    Warsztat co kupić?   17 Lis 2023 17:09 Odpowiedzi: 11    Wyświetleń: 441