REKLAMA

fpga programowanie

Znaleziono około 615 wyników dla: fpga programowanie
  • PolarBerry - kompaktowy komputer jednopłytkowy z RISC-V i FPGA

    SiFive zaprezentowało właśnie płytę główną w formacie mini-ITX dla komputerów PC z procesorem RISC-V, o czym pisaliśmy Dane techniczne PolarBerry: * SoC - Microsemi PolarFire - MPFS250T-FCVG484 - pięciordzeniowy procesor z rdzeniem monitora (RV64IMAC), czterema rdzeniami aplikacyjnymi (RV64GC), komókami programowalnymi (FPGA) z 254k elementami logicznymi...

    Newsy   01 Lis 2020 10:12 Odpowiedzi: 0    Wyświetleń: 990
  • FPGA, Altera - regulowany generator prostokąta

    No to tu właściwie sam układ jest prosty. Musisz zrobić wyzwalany generator paczek impulsów. Przychodzi zbocze sygnału Fprf, jest zapamiętywane w pierwszym przerzutniku synchronizatora, wyjście tego przerzutnika wchodzi na wejście D drugiego przerzutnika, który jest taktowany sygnałem 2 MHz, tu chodzi o to by początek paczki był zsynchronizowany z sygnałem...

    Początkujący Elektronicy   01 Lut 2009 09:00 Odpowiedzi: 4    Wyświetleń: 1484
  • REKLAMA
  • Programowanie i EiT wybór.

    Jasne, że tak. Więcej programowania ma od EiT tylko jeden kierunek studiów (jaki to każdy wie - Informatyka). Co do sprzętu to programowaliśmy mikroprocki (8051), a poza tym to C++ na kompy (czysta informatyka - algorytmy, struktury danych, przetwarzanie obrazu itp.). Raczej ze sprzętem to tylko mikroproce i potem zależnie od specjalki np. FPGA.

    Nauka Szkolnictwo   29 Sie 2011 16:40 Odpowiedzi: 2    Wyświetleń: 1054
  • [AVR][C][FPGA][VHDL]Wspólna symulacja.

    Witam. Mój układ składa się z FPGA oraz dołączonego do niego mikrokontrolera AVR. Czy istnieje jakieś środowisko (albo inny sposób) by zasymulować cały projekt razem jednocześnie- czyli zachowanie mikrokontrolera programowanego w C, zachowanie FPGA programowanego w VHDL i interakcje między układami? Czy też jedyną możliwością jest osobne testowanie...

    Mikrokontrolery AVR   04 Lis 2008 20:59 Odpowiedzi: 2    Wyświetleń: 1286
  • FPGA - FPGA ds18b20 programowanie

    Witam wszystkich, Próbuje zbudować stacje pogodową na układzie FPGA Aletera DEO ,do pomiaru zastosowałem czujnik ds18b20 na nasze warunki klimatyczne jego zakresy powinny wystarczyć podłączyłem go podobnie jak to sie odbywa w mikroprocesorach(rezystaor 4,7). Ale mam problem z odczytem temperatury z DS18b20 ,sam standar 1-wire wydaje mi się dosyć prostym...

    Programowalne układy logiczne   19 Gru 2013 23:28 Odpowiedzi: 5    Wyświetleń: 2823
  • REKLAMA
  • Butterfly Platform - platforma FPGA

    Mam pytanie. Jak na tej płytce odbywa się zaprogramowanie układu FPGA ? Bo mnie to zawsze zastanawiało, a do tej pory nie miałem kiedy się wgłębić w tę wiedzę. Czy FPGA jest programowany kablem dołączonym do komputera przez port USB czy LPT ? Czy istnieją jakieś bufory separujące komputer od FPGA ?

    DIY Zagranica   09 Wrz 2009 21:27 Odpowiedzi: 4    Wyświetleń: 4730
  • Początki z FPGA (podstawy, programowanie, środowiska, ciekawe projekty)

    Witam forum! Zachęcony artykułem (at)piotrva na głównej stronie elektroda.pl pomyślałem, że spróbuję swoich sił z FPGA. Miałem na studiach kilka wykładów z FPGA (więc absolutne ABC jest mi znane). Chciałem zapytać krótko: od czego zacząć? Czy lepiej iść w Alterę (czyli Intela) czy Xilinxa? (chodzi mi o koszty / układów / zestawów startowych i oprogramowania;...

    Programowalne układy logiczne   29 Paź 2019 12:15 Odpowiedzi: 6    Wyświetleń: 1053
  • Implementacja symulowanego wyżarzania do rozmieszczania bloków w FPGA w Rust

    Poniższy artykuł to swoista opowieść o podróży autora w tematykę algorytmów rozmieszczania elementów w układach programowalnych (FPGA). W trakcie tego procesu zaimplementowano prosty symulator optymalizacji rozmieszczania w FPGA w języku Rust. Temat ten rzadko jest wyjaśniany w jednym miejscu z odnośnikami i działającą implementacją, ze względu na...

    Artykuły   26 Lut 2024 21:16 Odpowiedzi: 0    Wyświetleń: 768
  • Płytka rozwojowa Mercury 2 dla FPGA Xilinx Artix-7

    Chociaż obecnie spotykamy coraz więcej płytek rozwojowych z ukłądami FPGA, bardzo niewiele jest zaprojektowanych do umieszczenia na płytce stykowej. Te które wspierają taką możliwość są jednak zazwyczaj oparte na podstawowych układach FPGA, takich jak ICE40 od Lattice Semi czy QuickLogic EOS S3. Jeśli poszukujecie mocniejszej płytki z FPGA, która pasuje...

    Newsy   02 Paź 2020 14:44 Odpowiedzi: 2    Wyświetleń: 1017
  • Komputery firmy Machdyne oparte na FPGA i działające z systemem Linux

    Niedawno firma Machdyne zaprezentowała dwa komputery ogólnego przeznaczenia zbudowane wokół układu Lattice ECP5 FPGA. Płytki Noir i Konfekt są wyposażone w 2 MB pamięci Flash NOR, czytnik kart MicroSD, port USB-C itp. Obie jednostki mogą również pracować pod Linuksem. Zarówno Noir, jak i Konfekt można skonfigurować z wariantem ECP5 FPGA, który zapewnia...

    Newsy   16 Gru 2022 09:10 Odpowiedzi: 1    Wyświetleń: 438
  • Alorium XLR8 - zgodna z Arduino płytka rozwojowa z Altera MAX 10 (FPGA)

    Firma Alorium Technology wprowadziła do sprzedaży nowy model płytki rozwojowej o nazwie kodowej XLR8. XLR8 w odróżnieniu do większości dostępnych na rynku klonów Arduino łączy funkcjonalność mikrokontrolera AVR z możliwościami układów FPGA. Sercem płytki jest układ MAX 10 firmy Altera współdziałający z mikrokontrolerem ATmega328 firmy Atmel/Microchip....

    Newsy   31 Paź 2016 21:55 Odpowiedzi: 1    Wyświetleń: 1821
  • Kompedium wiedzy na temat CPLD/FPGA

    Witam!!! Na wstępie proszę abyście nic nie dopisywali żadnych odpowiedzi do tego tematu. Jak chcesz coś dodać od siebie to proszę o informacje na priva. Dokleję i poinformuje co kto dodał o ile ta informacja będzie coś wnosiła do tego kompendium. Chce wprowadzić taki zabieg aby czytelnicy nie wertowali tego posta co kto dodał. To ma ktoś otworzyć i...

    Programowalne układy logiczne   01 Lut 2016 15:02 Odpowiedzi: 2    Wyświetleń: 35245
  • REKLAMA
  • Nowość od Intela - FPGA jako usługa

    Usługi dostarczane w chmurze zmieniają sposób prowadzenia niemalże każdego biznesu na świecie. Sama idea dostarczania usług od dawna zmienia sposób realizacji wielu zadań. Zazwyczaj zmienia ona drastycznie także sposób ich wyceny. Bardzo często, zwłaszcza na dostawcach sprzętu, wymusza użyczenia go jako usługi, za niewielką opłatą, a nie sprzedawanie...

    Newsy   20 Paź 2017 06:43 Odpowiedzi: 0    Wyświetleń: 1659
  • uC [LM32] ze sterownikiem ISI i LCD TFT na FPGA. Dużo pytań.

    A nie lepiej wrzucić jakiś Touch Screen Controller jak AR1020 od Microchip ? Odczytujesz tylko po SPI, a to jest najłatwiejszy sprzętowy interfejs szeregowy do implementacji, zajmujący najmniej logiki w FPGA. W dodatku masz taki bloczek IP SPI gotowy i dostarczony razem z Mico32. Obsługa taka sama jak w każdym Tobie znanym uC. Nie, bo ten procek ma...

    Mikrokontrolery   09 Sty 2013 11:22 Odpowiedzi: 16    Wyświetleń: 4775
  • Projekt na fpga. VHDL można połączyć z C?

    VHDL i C nie można połączyć ot tak w prosty sposób ponieważ VHDL jest językiem opisu sprzętu i to przy jego pomocy można zbudować dowolne struktury logiczne czy nawet procesor, natomiast C jest językiem programowania procesorów. Żeby to połaczyć to w vhdl budujesz procka, a w C go programujesz, będzie Ci potrzebna tylko pamięć na ten program, można...

    Programowalne układy logiczne   17 Mar 2009 09:47 Odpowiedzi: 2    Wyświetleń: 1994
  • Modułowa płytka prototypowa z FPGA Intel Arria 10

    Pro Design rozszerzyło swoją rodzinę systemów do prototypowania proFPGA o trzy płyty główne o różnych rozmiarach oraz moduł FPGA z możliwością. Karty uno, duo i quad mieszczą odpowiednio jeden, dwa i cztery moduły FPGA. Każda z nich jest wyposażony w układ FPGA Intel Arria 10 GX 1150. Moduł FPGA proFPGA A10 GX 1150 tworzy rdzeń logiczny dla skalowalnych,...

    Newsy   15 Maj 2019 11:40 Odpowiedzi: 0    Wyświetleń: 537
  • Nowy akcelerator z układem programowalnym FPGA dla komputerów PC

    Aplikacje obliczeniowe o dużej przepustowości i różnorodne obciążenia obliczeniowe są motorem wprowadzania układów programowalnych (FPGA) do komputerów PC w postaci kart – programowalnych akceleratorów itp. Spółka Achronix Semiconductor i BittWare (marka firmy Molex), zaprezentowały właśnie nową klasę kart akceleratora FPGA do przetwarzania danych...

    Newsy   29 Lis 2019 14:23 Odpowiedzi: 3    Wyświetleń: 1344
  • Zestaw do nauki itp. szukam zestawu ma FPGA.

    witam, wielka prośba do szanownych kolegów, szukam zestawu ma FPGA, do nauki programowania. Zamierzam uczyć się robiąc cyfrowy oscyloskop-analizator stanów logicznych o wyśrubowanych parametrach ;), na początek coś na 60Msampli, jak uda mi się załatwić szybsze ADC i fifo to na pewno szarpnę się na 200M kub więcej. Chcę wydać na zestaw do 2000 złotych,...

    Programowalne układy logiczne   16 Lis 2008 16:35 Odpowiedzi: 4    Wyświetleń: 1701
  • Powiedzcie coś więcej o FPGA...

    Witam ;], Powyzszy projekt jest moim drugim podejsciem do zagadnien FPGA. Poprzedni (tez gdzies na elektrodzie publikowalem zdjecia) byl robiony recznie. Nie mam raczej zadnego doswiadczenia z ukladami Xilinxa ale programator dla nich jest powszechnie dostepny w necie (mialem tez schemat do niego w ksiazce ale juz ja oddalem do biblioteki). Jesli chodzi...

    Programowalne układy logiczne   15 Maj 2006 19:07 Odpowiedzi: 6    Wyświetleń: 3093
  • [Praca] Programista układów FPGA

    Witam! Mam przyjemność poinformować, że Firma TRUMPF Huettinger Sp. z o.o. poszukuje pracowników na stanowisko Programista układów FPGA TRUMPF Huettinger jest światowym liderem w produkcji zasilaczy do procesów plazmowych, wzbudzania laserów CO2, oraz aplikacji nagrzewania indukcyjnego. W procesach plazmowych wykonywane są półprzewodniki, szkło architektoniczne,...

    Projektowanie Bazar   21 Lis 2014 11:52 Odpowiedzi: 0    Wyświetleń: 1404
  • Democracy - otwarta platforma na FPGA do przetwarzania audio dla Raspberry Pi

    Na portalu Democracy DEV wyposażone jest w szereg wejść i wyjść analogowych dedykowanych do sygnałów audio. W układzie zawarto także wejście i wyjście MIDI oraz dodano możliwość podłączenia przełączników nożnych oraz pedałów ekspresji do kontroli działania układu w czasie rzeczywistym podczas np. gry na instrumencie. Dla kogo dedykowany jest układ...

    Newsy   11 Gru 2016 12:54 Odpowiedzi: 1    Wyświetleń: 4047
  • Jakie są zalety FPGA w budowie retrokomputerów vs mikrokontrolery AVR?

    To czekam na ten konkretny argument jak to z kompletną platformą jest taniej na FPGA. Nie koniecznie taniej, ale zawsze bliżej oryginału. Tak jak już pisałem, układ za 15zł + EPCS za grosze, można cały prosty system zbudować (chociaż raczej nie do grania). Rdzeń np. Z-80, terminal video na VGA, kontroler klawiatury PS2 i UART do tego. Odpali interpreter...

    Projektowanie i Tworzenie Po godzinach   25 Sty 2020 23:24 Odpowiedzi: 21    Wyświetleń: 1173
  • PSOC czy uC? A może FPGA i tylko FPGA.

    Porównywanie procesorów i układów programowalnych to jak porównywanie autobusu z ciężarówką. Każdym da się przewieźć 50 osób albo 5 ton piachu. Ale problem z załadunkiem (programowaniem/konfiguracją) i rozładunkiem- użytkowaniem. Produktami starymi można się "bawić"- niektórzy to lubią. Ale nie stosować. Narzędzia darmowe(IDE) są na pewno do AVR, Xilinx...

    Mikrokontrolery   11 Lis 2009 18:27 Odpowiedzi: 4    Wyświetleń: 3304
  • iCESugar-nano devkit FPGA z iCE40LP1k ze złączami PMOD za 19 dolarów

    Wyprodukowany przez Muse Lab moduł iCESugar-nano to niewielka płytka uruchomieniowa z układem FPGA wykorzystująca dystrybuowany przez Lattice Semi chip iCE40LP1K-CM36. System jest programowalny przez port USB-C za pomocą wbudowanego debuggera iCELink i posiada porty wejścia/wyjścia w postaci trzech standardowych złączy PMOD. Płytka ta jest w pełni...

    Newsy   23 Maj 2022 11:59 Odpowiedzi: 0    Wyświetleń: 348
  • ICE-V Wireless - płytka prototypowa z iCE40 UltraPlus FPGA i ESP32-C3-MINI-1

    Ciekawe, firmware do ESP32-C3, który jest domyślnie dostarczany z płytką służy m.in. do zdalnego (po WiFi) programowania FPGA. Poza tym C3 pełni rolę "inteligentnej" karty WiFi, sterowanej po SPI z FPGA. Z kodu źródłowego tego firmware nie wynika jednak, aby możliwe było wykorzystanie BLE w C3. Według dokumentacji kompatybilność ICE-V Wireless obejmuje...

    Newsy   29 Lip 2022 18:45 Odpowiedzi: 1    Wyświetleń: 501
  • SmartFusion 2 - ARM Cortex M3, FPGA i ESP32 za 34 dolarów

    Układy Zynq firmy Xilinx są chyba najbardziej znanymi systemami-na-chipie (SoC), które integrują w sobie rdzenie ARM ze strukturą programowalną FPGA. Na ich rdzeniach A9/A53 bez problemu uruchamiać można system operacyjny Linux. Nie są to jednakże jedyne SoCe tej klasy. Firma Microsemi wprowadziła do oferty w 2013 roku układy SmartFusion2. Są to systemy...

    Newsy   06 Lut 2018 18:21 Odpowiedzi: 0    Wyświetleń: 1659
  • Jaki typ RAM wybrać do generatora DDS FPGA: SRAM vs DRAM vs PSRAM?

    Aktualnie projektuję sobie wielokanałowy generator DDS mogący generować kilka różnych przebiegów na raz. Przebiegi podstawowe byłyby zapisane w pamięci Flash, a przy starcie przenoszone do pamięci RAM. Całość jest kontrolowana przez układ FPGA. Mam problem z pamięcią RAM. Rozdzielczość przebiegów to 8 bit, a wszystkie próbki zajmują 16Mbit. Jeszcze...

    Mikrokontrolery   19 Kwi 2020 12:19 Odpowiedzi: 1    Wyświetleń: 417
  • Układ FPGA czy Układ FPGA SoC

    Hej, mam całkiem spore doświadczenie z SoC'ami (FPGA + Processing System). Jest to o tyle lepsze rozwiązanie że masz od razu w jednej kości połączone możliwości procesora aplikacyjnego (takiego który uciągnie Ci embedded linux'a np. Ubuntu) oraz dodatkowych peryferiów które ty sam dodajesz do FPGA. Nie wiem jak duże masz doświadczenie z FPGA oraz z...

    Programowalne układy logiczne   22 Paź 2014 11:55 Odpowiedzi: 4    Wyświetleń: 2853
  • Podstawy związane z FPGA... (środowisko + literatura).

    1. Czy np. na Altere można pisać programy w języku wysokiego poziomu (jak C++ czy Python) i później to jakoś przekonwertować na VHDL? Czy w grę wchodzi tylko VHDL (oraz Verilog i podobne)? Nie da się połączyć w taki sposób języka do programowania współbieżnego z językiem do programowania sekwencyjnego. Nie wiem czy można tak radykalnie odpowiedzieć...

    Programowalne układy logiczne   23 Lis 2014 13:48 Odpowiedzi: 8    Wyświetleń: 2697
  • Kolibri - dongle USB z FPGA, wyposażony w 12-pinowe złącze PMOD

    Kolibri to moduł podłączany do USB, który integruje w sobie układ programowalny (FPGA) z rodziny Lattice iCE40, mikrokontroler RP2040 i złącze PMOD do rozbudowy wejścia i wyjścia z jednostki. To kompaktowe urządzenie jest również kompatybilne z projektem Open Source IceStorm. Kolibri to kolejny układ Machdyne, który uwzględnia FPGA o niskim poborze...

    Newsy   25 Kwi 2023 05:58 Odpowiedzi: 2    Wyświetleń: 609
  • Parallel Cable III - moja wersja konfiguratora FPGA.

    Chciałbym przedstawić swoją wersję konfiguratora FPGA, który powstał na potrzeby 'programowania' układu, który buduję na pracę magisterską. Przepraszam od razu za słabą jakość zdjęcia(robione telefonem). Tego typu prostych projektów pewnie było już pełno, ale jest to pierwszy układ który zbudowałem sam od A do Z i nie rzuciłem w kąt po pierwszym nieudanym...

    DIY Konstrukcje   13 Lis 2008 14:28 Odpowiedzi: 5    Wyświetleń: 7870
  • VHD, FPGA. Zwielkorotnienie komponentu.

    Należy zacząć od zmiany wszystkich latchy na rejestry (tak jak w przykładzie który umieściłem powyżej). One przeważnie nie mają szansy działać poprawnie. Konieczny jest osobny proces do sterowania rejestrami, a każdy rejestr jest definiowany przez DWIE zmienne (stan obecny, i następny). Naprawdę warto tamten przykład dokładnie przeanalizować, a później...

    Programowalne układy logiczne   25 Sie 2009 05:49 Odpowiedzi: 17    Wyświetleń: 1890
  • Nowy moduł deweloperski z FPGA NiteFury w ciekawym module PCIe

    NiteFury to płytka deweloperska z układem FPGA. Od konkurencji odróżnia ją nietypowy format i interfejs - moduł został zaprojektowany jako karta M.2 typu M. Na pokładzie modułu znajdziemy FGA Artix-7 firmy Xilinx. Z łatwością można zainstalować ją w laptopie czy komputerze stacjonarnym, wyposażonym w slot M.2 z 80 mm miejsca na moduł. Na pokładzie...

    Newsy   03 Kwi 2019 13:06 Odpowiedzi: 0    Wyświetleń: 756
  • Arrow Chameleon96 ARM + FPGA Cyclone V w promocji za 32 dolary

    Po raz pierwszy wprowadzony w 2017 roku, komputer jednopłytkowy Arrow Chameleon96 96Boards jest wyposażony w SoC Intel/Altera - Cyclone V SE z dwurdzeniowym procesorem ARM Cortex A9 o taktowaniu do 800 MHz i strukturą FPGA z 110k elementami logicznymi. Kiedyś sprzedawano ją za 129 dolarów, ale Arrow ma teraz specjalną promocję, w której moduł firmy...

    Newsy   24 Wrz 2020 22:37 Odpowiedzi: 10    Wyświetleń: 1086
  • DIY FRPWM o rozdzielczości pikosekundowej, na układzie FPGA. Część 2.

    Bo to nie na Arduino ;) Sama zasada działania i jej opis też jest tutaj ok wg mnie i da się zrozumieć jak to działa i w jaki sposób obchodzi standardowe ograniczenia zegara. Dokładnie. Brak kodu jest celowy. Po prostu ten cały pomysł nie opiera się na kodzie. Dodatkowo 95% programistów (jak nie więcej) jest programistami uC, a nie CPLD/FPGA. Oni by...

    Artykuły   16 Lip 2021 20:05 Odpowiedzi: 14    Wyświetleń: 2628
  • Jak bardzo złożone projekty uda mi się wcisnąć w FPGA?

    Witam, zastanawiam się nad zakupem zestawu uruchomieniowego FPGA. Póki co przypadł mi w oko taki zestaw -> 4656 slices, przyciski, diody, wyświetlacz, wbudowana pamięć sdram, możliwość połączenia z klawiaturą/myszką/monitorem, możliwość programowania przez USB - jednym słowem wszystko w jednym :) Jednym z minusów jest właśnie XC3S500E (odpowiednik 500...

    Programowalne układy logiczne   28 Kwi 2007 12:04 Odpowiedzi: 4    Wyświetleń: 3141
  • Zestaw startowy dla układów FPGA

    Witam. Chciałem zasięgnąć Waszej opinii na temat zestawu startowego dla układów FPGA prezentowanego w Elektronice Praktycznej (nr 10/2006 str 84). Obecnie nie wiem czy oferta specjalna jeszcze obowiązuje, ale zestaw składa się z 3 części: [url=]ZL4PRG [url=]ZL9PLD [url=]ZL10PLD W efercie specjalnej taki zestaw kosztuje (kosztował) 349zł a bez oferty...

    Programowalne układy logiczne   07 Lut 2007 12:05 Odpowiedzi: 1    Wyświetleń: 2668
  • [FPGA] ISE WebPack i Embeded zasady licencji, częstotliwość

    Sytuacje mam taką: chce odpalic projekt na XC6SLX150 - czego potrzebuję? Jaki projekt? Wybierz narzędzia, które Ci odpowiadają do realizacji konkretnego zadania: ISE WebPACK - darmowe narzędzia do implementacji logiki, rozmieszczanie i analiza(PlanAhead), symulacja (Isim) i gotowe Xilinx'a IP Core'y (CoreGenerator, część z nich darmowa). Reszta narzędzi...

    Programowalne układy logiczne   24 Sie 2013 19:01 Odpowiedzi: 5    Wyświetleń: 3215
  • Generator DDS na FPGA

    To moja trzecia konstrukcja na FPGA ;) pierwszy był trywialny zegarek na kilku licznikach, a potem prosty kalkulatorek dodający dwie liczby. Tak więc proszę o konstruktywną krytykę i porady, co by tu można jeszcze ulepszyć. FPGA ciekawi mnie już od jakiegoś czasu, ze względu na to, że można na nim zrobić rzeczy, przy których procesory wymiękają. Prezentowany...

    DIY Konstrukcje   19 Lut 2013 19:01 Odpowiedzi: 25    Wyświetleń: 19701
  • Spartan-6 - Synteza kodu VHDL na układ FPGA - wykorzystane slice'y

    Witam, Korzystam ze środowiska ISE WebPAck (wersja P.28xd) do programowania układu FPGA. Po syntezie kodu VHDL zajmuje on zasoby logiczne rozmieszczone w różnych slice 'ach i nie wykorzystuje w całości większości pojedynczych slice 'ów. Czy jest jakiś sposób aby "zmusić" proces syntezy do pełnego wykorzystania jak największej ilości slice 'ów układu...

    Programowalne układy logiczne   30 Lis 2014 18:56 Odpowiedzi: 2    Wyświetleń: 2322
  • PROGRAMATOR FPGA ??

    MAX7000 to CPLD a nie FPGA. Poza tym JTAG'a posiadają tylko MAX7000 S .Natomiast MAX7000 programowane są "podobno" w specjalnych (czytaj : drogich) programatorach. "Podobno" bo tak wyczytałem na elektrodzie. :twisted:

    Mikrokontrolery   17 Maj 2005 07:56 Odpowiedzi: 2    Wyświetleń: 1670
  • sterownik akwizycji probek - cpld/fpga - programator

    poczytalem troche tamat o podstawach, znalazlem i jakies porownanie cpld i fpga... ale to raczej takie teoretyczne sprawy i dalej mam problem... musze zaprojektowac sterownik akwizycji probek, czy jak to inaczej ladnie elokwentnie nazwac ;)... czyli: uklad programowalny, ktory bedzie sterowal przetwornikiem ADC i jakas pamiecia RAM (jaka nie wiem jeszcze),...

    Programowalne układy logiczne   10 Lip 2008 14:02 Odpowiedzi: 4    Wyświetleń: 1818
  • Programowanie Xilinx XC9572XL (XC9500XL) CPLD z OpenOCD

    Wstęp Jako ,że w końcu po chyba 2 latach od zakupienia elementów i złożenia zakupionego jtag'a w końcu znalazłem trochę czasu :lol: na próby z CPLD które się udały :). Postanowiłem więc napisać krótko co i jak dla innych którzy będą chcieli ruszyć CPLD (FPGA) z OpenOCD, a jakoś ich to odstrasza, lub po prostu nie ogarniają tego ;) . Zestaw jakim się...

    Programowalne układy logiczne   14 Gru 2013 19:33 Odpowiedzi: 30    Wyświetleń: 17256
  • Trudne początki z CPLD/FPGA dla fana C

    Od jakiegoś czasu staram się zapoznać z tematem układów CPLD/FPGA. Poczyniłem pewne kroki w celu zdobycia podstaw teoretycznych za pomocą podlinkowanych tematów. Problemem w zrozumieniu zagadnień stanowi myślenie przesiąknięte programowaniem strukturalnym (lub obiektowym) - generalnie, że można wykonywać jedną rzecz na raz. W związku z tym pojawia się...

    Programowalne układy logiczne   29 Lip 2012 15:29 Odpowiedzi: 6    Wyświetleń: 3063
  • Programowanie układów PLD typu Gal

    mozesz sprobowac uklady cpld (complex pld), ktore juz sa niezlymi kombajnami, a jeszcze nie sa tak drogie jak fpga. cupl jest stosunkowo prosty, zwlaszcza jak masz kilka przykladowych programikow i nie musisz robic bardzo skomplikowanych rzeczy. W cuplu fajne jest to, ze mozesz uklad opisac behawioralnie, przy pomocy funkcji logicznych lub tablicy wejsc/wyjsc....

    Mikrokontrolery   08 Mar 2004 16:07 Odpowiedzi: 19    Wyświetleń: 5993
  • Szukam osoby znajacej sie na programowaniu w Xilinx -projekt

    Witam potrzebuje zrobic projekt z FPGA. Srodowisko: ISE Xilinx, Impact, ModelSim Uklad: Spartan2 Temat: Zaprogramowac plytke aby przez port RS-232 wyswietlala na ekran dowolny staly napis. Termin: do 6 czerwca 2007 Wskazowki: prowadzacy pozwala zrobic sam schemat, projekt w Xilinx (bez potrzeby posiadania plytki) a nastepnie efekty dzialania projektu...

    Programowalne układy logiczne   22 Maj 2007 13:18 Odpowiedzi: 6    Wyświetleń: 1791
  • graficzne programowanie procesorów

    Może nie całkiem w temacie, ale LabView między innymi tworzy aplikacje na BlackFina, ARMy, FPGA. Ma zwykle jakieś ograniczenia co do architektury wokół procka. A nawet chyba potrafił tworzyć kod w miarę uniwersalny który należało doposażyć bibliotekami we-wy, mapą pamięci itp.

    Mikrokontrolery   08 Mar 2010 19:29 Odpowiedzi: 9    Wyświetleń: 10761
  • [ARM] Szybki ARM z programowanie Jtag oraz 32 potami.

    Do takich zabaw wg mnie najodpowiedniejsze będzie FPGA. Wg mnie wyjdzie taniej i szybciej,

    Mikrokontrolery ARM   02 Sie 2009 15:54 Odpowiedzi: 15    Wyświetleń: 6620
  • ZL10PLD od KAMAMI i problem z programowaniem

    Witam! Zestaw ten sam, dopiero zaczynam zabawę tymi klockami. Programator DLC5, i tak jak krychajk napisał wrzucilem XC3S200_bare -> XCF01S -> TDO i wsumie nic ciekawego mi nie wyrzuca. // *** BATCH CMD : setCable -port auto AutoDetecting cable. Please wait. PROGRESS_START - Starting Operation. Connecting to cable (Parallel Port - LPT1)....

    Programowalne układy logiczne   14 Wrz 2009 12:49 Odpowiedzi: 4    Wyświetleń: 2272
  • stm32f103c8t6 - Programowanie przez ST-Link v2

    1. Może być to problem z programatorem - zasilanie w nieprawidłowy sposób. 2. Na schemacie nie ma kondensatorów odsprzęgających zasilanie - miałem podobny problem przy ich braku z układem CPLD/FPGA. 3. W związku z tym, jakie kondensatory usunąłeś?

    Mikrokontrolery ARM   27 Lut 2016 21:38 Odpowiedzi: 14    Wyświetleń: 5838
  • Moduły Sipeed Tang Nano/Gowin FPGA - wymiana doświadczeń, dyskusja techniczna

    Płytka była przyszła (Tang Nano 9k), na pierwszy rzut oka działa (jeden z przykładów skompilowany i wgrany). IDE pierwsze wrażenia spoko - jednak pod linuxem nie działa mi programowanie. Wiecie może jak to rozwiązać? Tymczasem używam "openFPGALoader" i tenże program spoko daje radę. IDE darmową (tylko rejestracja potrzebna) licencje dostałem w ~3h ale...

    Programowalne układy logiczne   26 Wrz 2023 08:13 Odpowiedzi: 18    Wyświetleń: 882
  • Układ CPLD Kamami programowanie przez USB

    Poczytaj o plikach "constraints". Jest jeszcze specjalne narzędzie do tego celu - PlanAhead. Jeśli korzystasz z Altium Designer + Xilinx - możesz to zrobić nawet z poziomu PCB :) (tak dla jasności). Zaraz odezwą się forumowicze - i skrytykują mnie za korzystanie z Xilinx ISE w ten sposób, ale co tam. Z tego co wiem Mentor też ma jakieś narzędzie do...

    Programowalne układy logiczne   04 Cze 2012 17:11 Odpowiedzi: 11    Wyświetleń: 4744
  • Glasgow Interface Explorer - sprzętowy debugger oparty na FPGA iCE40

    W ostatnich miesiącach prezentowanych było już kilka interesujących modułów z analizatorami sprzętowymi czy systemów do inżynierwii wstecznej (jak debugger Ollie czy Tigard USB), które umożliwiają łączenie różnych interfejsów sprzętowych lub flashowanie oprogramowania układowego na różnego typu płytki docelowe. Poniżej prezentujemy kolejny tego rodzaju...

    Newsy   28 Gru 2020 16:51 Odpowiedzi: 0    Wyświetleń: 522
  • Płyta USB Qomu z ARM Cortex-M4F i FPGA programowalna za pomocą Symbiflow

    W przeszłości prezentowano kilka maleńkich płytek USB typu „omu”, które są wielkości złącza USB, zaczynając od Tomu opartego na układzie Silabs EFM32 Arm-Cortex-M0+, a następnie Fomu umożliwiającego programowanie w Pythonie i wykorzystujące softcore RISC-V zaimplementowany w ukłądzie programowalnym Lattice ICE40, a także klucz bezpieczeństwa...

    Newsy   07 Lut 2021 11:38 Odpowiedzi: 0    Wyświetleń: 966
  • Wbudowane FPGA przekroczą popularność klasycznych FPGA

    FPGA stały się technologią strategiczną. Kiedyś były to: „układy ASIC biednego człowieka” i zapewniały dopasowane do zastosowań rozwiązanie o mniejszej objętości. Chociaż są one nadal używane w ten sposób w wielu systemach, stały się również zasadniczo ważne dla dwóch bardzo dużych, szybko rozwijających się aplikacji: * Centr danych w chmurze:...

    Newsy   20 Kwi 2022 07:25 Odpowiedzi: 1    Wyświetleń: 771
  • STEPFPGA - płytka rozwojowa FPGA programowalna za pomocą sieciowego IDE

    Miniaturowa płytka rozwojowa FPGA STEPFPGA firmy MXO2Core jest oparta na układzie programowalnym Lattice MXO2-4000 i została zaprojektowana do edukacji z łatwym w użyciu Web IDE, zamiast bardziej tradycyjnych narzędzi, które mogą być frustrujące w użytku, nawet przy wsparciu szczegółowych samouczków. Płytka jest również wyposażona w 2-cyfrowy wyświetlacz...

    Newsy   11 Lip 2022 12:21 Odpowiedzi: 0    Wyświetleń: 612
  • Spartan 3E/VHDL - Stacja meteorologiczna na FPGA

    2)programowanie picoblaze Mankamentem procesora PicoBlaze3 jest to, że można go programować tyko w języku asembler Czy na pewno łatwiej jest to obsłużyć w asemblerze? Mam złe wspomnienia związane z tym językiem. Może lepszym rozwiązaniem jest użycie zewnętrznego mokrokontrolera i zaprogramowanie go w C do obsługi czujników? Pozostaje wtedy kewstia...

    Programowalne układy logiczne   05 Lut 2013 15:08 Odpowiedzi: 10    Wyświetleń: 3375
  • Język programowania Rust - czy warto stosować w embedded?

    Jestem blisko embedded, tylko z trochę innej perspektywy niż (at)lazor1 , więc przedstawię Wam inny punkt widzenia. Dopóki duzi gracze na rynku nie zaczną chcieć stosować Rusta - czy to przez parcie marketingowe, czy przez lobbing architektów lub innych technicznych osób wysoko w hierarchii takich firm, to Rust będzie językiem-ciekawostką do realizacji...

    Projektowanie i Tworzenie Po godzinach   15 Sty 2023 23:17 Odpowiedzi: 75    Wyświetleń: 5013
  • Jak karty PCIe z FPGA wspomagają systemy AI i ML

    BittWare, spółka zależna firmy Molex, zaprezentowała niedawno nowe karty PCIe z układami FPGA, dedykowane do pracy w systemach akceleracji algorytmów uczenia maszynowego. BittWare 520N oferują istotne zalety w porównaniu z przetwarzaniem na CPU czy GPU, o czym opowiada dokładniej poniższy artykuł. Karty te znajdują się obecnie w dystrybucji firmy Arrow,...

    Artykuły   20 Cze 2020 22:50 Odpowiedzi: 3    Wyświetleń: 1692
  • problem z programowaniem xc3s200

    Witam Dopiero zaczynam swoją zabawę z ukł. FPGA dlatego mam problem z programowanie xc3s200 - moduł BTC . dziwna sprawa , próbowałem z róznym softem i nadal wyskakują mi centralnie jaja! Ładuje gotowe pliki przykładowe z kursu EP -FPGA. 1)Przykładowy projekt na obsługę wyświetlacza alfanumerycznego w 4bit. przeprowadzam syntezę która nie przebiegnie...

    Programowalne układy logiczne   24 Gru 2007 05:59 Odpowiedzi: 0    Wyświetleń: 903
  • [Zrobię] Projektowanie PCB / Schematów / FPGA / uC / inne usługi elektroniczne

    Witam W ramach mojej działalności chciałbym zaoferować Państwu swoje usługi z szerokiej dziedziny elektroniki. Projektowanie PCB - dowolna ilość warstw - zrealizowane 20 warstwowe - oprogramowanie: CADENCE ALLEGRO/ALTIUM DESIGNER/PROTEL/CADSTAR/PADS/EAGLE/ oraz inne na życzenie klienta Tworzenie schematów elektrycznych - dowolna trudność urządzenia...

    Ogłoszenia Elektronika   23 Paź 2011 19:16 Odpowiedzi: 2    Wyświetleń: 2796
  • [Zrobię] Elektronika - Projektowanie/Programowanie/Produkcja

    Witam Chciałem zaprezentować firmę 3CTE zajmującą się projektowaniem elektroniki na podstawie wytycznych klienta. W skrócie czym się zajmowaliśmy: PROJEKTOWANIE : komputery przemysłowe, tablety, komputery modułowe, moduły procesorowe, elektroniczne systemy zarządzania bezpieczeństwem, sterowniki urządzeń, urządzenia do transmisji bezprzewodowej, i inne....

    Ogłoszenia Elektronika   08 Lip 2013 23:06 Odpowiedzi: 0    Wyświetleń: 1068
  • [FPGA] - Jaki kompatybilny zestaw i kurs

    Znaczy ogólnie musisz nieco zmienić myślenie, ja myślałem podobnie zaczynając przygodę z tymi cackami. A więc po kolei - VHDL to język opisu sprzętu, jest uniwersalny i w założeniu przenośny (tj. ten sam "kod mogę odpalić" na układzie firmy Lattice, a potem na układzie od Altery). Zatem ucząc się samego VHDL możesz mieć dowolny zestaw, bo jeśli np....

    Programowalne układy logiczne   19 Sty 2015 21:51 Odpowiedzi: 7    Wyświetleń: 2184
  • Nowe FPGA od Microsemi: niska cena i niewielki pobór prądu na średniej półce

    Firma Microsemi jest obecna w gronie producentów układów programowalnych od niemalże 30 lat. Do niedawna jej udział w rynku tych elementów kształtował się na poziomie 35..40%. Wprowadzenie do sprzedaży nowej linii układów FPGA PolarFire zwiększyło wartość zajmowanego przez Microsemi segmentu aż do nawet miliarda dolarów. Układy PolarFire reklamowane...

    Newsy   27 Paź 2017 09:58 Odpowiedzi: 4    Wyświetleń: 1788
  • CLEAR - otwarty ASIC FPGA od chipIgnite efabless

    Projekty SoC o otwartym kodzie źródłowym są dostępne do uruchomienia na sprzęcie FPGA, ale niewiele z nich trafia do krzemu ze względu na związane z tym koszty. Dlatego kilka lat temu został wydany Google SkyWater PDK (zestaw do projektowania procesów) wraz z ofertą wyprodukowania do 100 sztuk układu za darmo dla wybranych projektów we współpracy z...

    Newsy   08 Lut 2022 15:39 Odpowiedzi: 1    Wyświetleń: 681
  • Mikrokontrolery przyszłości: Sprzęt, języki programowania i alternatywy dla Arduino

    O ile ARM 32 i niedługo też ARM64 są i będą bez wątpienia popularne, to może warto iść pod prąd? Procesory DSP niszczą wydajnością ARM i FPGA dla średnich szybkości zegara, ale programuje je się trudno, często wymagana jest dodatkowa wiedza z zakresu przetwarzania sygnałów. Praca dla takich ludzi jest, ale jest jej mniej. Jest za to często ciekawsza....

    Projektowanie i Tworzenie Po godzinach   12 Lut 2023 22:39 Odpowiedzi: 14    Wyświetleń: 1221
  • połączenie procesor fpga

    hmm... mam zamiar zrobić wkrótce taki projekcik (AVR + Spartan). Na razie jest to bardzo wczesny etap projektowania, dlatego chętnie włączam się do dyskusji na ten temat. Ale co Cię konkretnie interesuje? Mnie dręczą następujące pytania: 1. Współpraca Spartana (2,5 V) z peryferiami (3,3V oraz 5V). 2. Wrzucanie kodu do FPGA (to już mi się akurat trochę...

    Mikrokontrolery   17 Lis 2004 11:43 Odpowiedzi: 2    Wyświetleń: 1023
  • Jaka jest różnica między programowaniem PLD a uC

    No cóż, artykuł ten jedynie przejrzałem i nie znam szczegółów. Co do różnic między uC a PLD czy FPGA to jest jedna: zasadnicza ;) A na poważnie to uC jest procesorem zaś PLD jest "sprzętem". Oznacza to tyle, że uC (generalnie procesor) wykonuje instrukcje programu sekwencyjnie czego efektem jest to, że może jednocześnie wykonywać tylko jedno zadanie....

    Programowalne układy logiczne   11 Sie 2006 22:10 Odpowiedzi: 1    Wyświetleń: 1995
  • [FPGA][Ogolne] Jak zacząć walkę z FPGA?

    Mam 10letnie doświadczenie z róznego rodzaju uC, bawiłem sie kiedyś w 'cyfrówke' biorąc róznorakie bloki cyfrowe i łączyłem je w konkretną całość (zegarki,minutniki,sterowniki z pamięcią) - czy to dobre podstawy by zacząć zabawe z FPGA? Głównie interesują mnie układy z pamięcią - np jednostka obsługi matryc TFT...na forum czytalem ze do takich zadań...

    Programowalne układy logiczne   12 Cze 2008 06:50 Odpowiedzi: 3    Wyświetleń: 6042
  • USB Blaster - Programator do FPGA/CPLD Altery - potrzebne 3.3 v

    Ostatnio zacząłem się interesować tematem programowania układów FPGA / CPLD od altery. Zakupiłem niedrogi programator pod USB (podobno w pełni zgodny z oryginalnym Blasterem) Jednak układ, który chcemy programować trzeba zasilić napięciem 3.3 v. Nie ma go we wtyczce, a posiadanie dodatkowego zasilacza i stabilizatora jest nieporęczne, zwłaszcza że takie...

    Mikrokontrolery   29 Gru 2013 19:10 Odpowiedzi: 2    Wyświetleń: 1707
  • FPGA - FPGA dla początkującego i nie tylko

    Ja osobiście polecam: Terasic DE0-Nano W wersji edu (jako student) zapłacisz około 370-400 zł. Ogólnie moje doświadczenia z firmą Altera są bardzo dobre - przyjazne i płynnie działające środowisko QUARTUS, nigdy nie miałem problemów ze sterownikami czy innymi pierdołami utrudniającymi pierwsze zaprogramowanie, czego nie można powiedzieć o firmie Xilinx....

    Programowalne układy logiczne   19 Maj 2016 09:45 Odpowiedzi: 4    Wyświetleń: 4353
  • Mango - płytka deweloperska z FPGA Altera Cyclone IV (Kickstarter)

    Mango to kompaktowa platforma deweloperska, która może zostać wykorzystana w szerokim zakresie projektów. Płyta z układem FPGA znajdzie zastosowanie w procesie przetwarzania sygnałów, rozwiązaniach mobilnych czy robotyce. Autorem projektu wspomaganego finansowo na stronie Kickstarter jest LASARRUS LLC - rodzinna firma zajmująca się dostarczaniem innowacyjnych...

    Newsy   10 Gru 2015 21:27 Odpowiedzi: 0    Wyświetleń: 1995
  • Microsemi dodaje do swojej oferty dla układów FPGA IP-Core z procesorem RISC-V

    Firma Microsemi z siedzibą w Aliso Viejo w Kalifornii została właśnie pierwszym dostawcą układów programowalnych (FPGA), który oferuje procesory oparte na otwartej architekturze RISC-V, jako programowe IP-Core. Wraz z samymi IP-Core firma wypuściła także cały toolchain dedykowany do zastosowania tych układów w systemach wbudowanych. RISC-V to nie jest...

    Newsy   23 Lis 2016 17:10 Odpowiedzi: 0    Wyświetleń: 1251
  • Arrow MAX1000 - niewielka płytka prototypowa FPGA z MAX10

    MAX1000 to nowy model płytki prototypowej firmy Arrow Electronics. Sercem MAX1000 jest układ MAX10 firmy Intel zawierający 8000 komórek logicznych. Programowanie MAX1000 odbywać może się z użyciem bezpłatnego oprogramowania Quartus Prime Lite firmy Intel. Płytka posiada pamięci SRAM i pamięci flash zintegrowane w układzie MAX1000. Płytka oprócz portu...

    Newsy   09 Maj 2017 10:12 Odpowiedzi: 2    Wyświetleń: 3507
  • Olimex iCE40HX8K-EVB - płytka prototypowa z Lattice Semi iCE40HX8K-CT256 (FPGA)

    iCE40HX8K-EVB to nowy model płytki prototypowej firmy Olimex. iCE40HX8K-EVB stanowi ulepszoną wersję modelu iCE40HX1K-EVB. Sercem iCE40HX8K-EVB jest układ iCE40HX8K-CT256 firmy Lattice Semi zawierający 7680 komórek logicznych. Programowanie płytki odbywać może się z użyciem narzędzi, takich jak Verilog. iCE40HX8K-EVB posiada 512KB pamięci SRAM i 2MB...

    Newsy   23 Cze 2017 13:28 Odpowiedzi: 0    Wyświetleń: 1938
  • Fipsy - niewielka i niedroga płytka prototypowa FPGA (Kickstarter)

    Fipsy to finansowany za pośrednictwem serwisu Kickstarter projekt płytki prototypowej Matthew Zamora. Fipsy jest znaczne tańsza od typowej płytki FPGA, a raster wyprowadzeń umożliwia łatwy montaż na uniwersalnej płytce stykowej lub jako moduł na własnej płycie bazowej. Sercem płytki jest układ MachXO2 (LCMXO2-256HC-4SG32C) firmy Lattice Semiconductors...

    Newsy   12 Maj 2018 11:34 Odpowiedzi: 20    Wyświetleń: 1956
  • Nakładka do programowania na Arduino UNO

    Początkujący, zwłaszcza na Arduino gdzie nie ma pojęcia projektu (trzeba ściągać i instalować biblioteki a pod ta samą nazwa są różne) wyłoży się na banalnych rzeczach co widać po pytaniach na forum (komputer nie widzi płytki, jaki COM wybrać, itp). Dlatego zestaw płytka+książka rozwiązuje problemy. To co zrobił autor nie jest dla początkujących (przynajmniej...

    DIY Konstrukcje   23 Sty 2019 12:49 Odpowiedzi: 32    Wyświetleń: 8061
  • Tang Nano - płytka prototypowa FPGA z GOWIN GW1N

    Teoretycznie możesz, sygnały JTAG są wyprowadzone na zewnątrz. Ale proste to nie jest. Bo musisz albo dokonać zmian sprzętowych - utworzyć łańcuch urządzeń czyli rozpruć co najmniej 1 połączenie. Albo programowo zablokować GW1N aby nie używał JTAG. Zwracam także uwagę, że interfejs USB "emulujący" FTDI jest zrobiony na tanim MCU, nie działa port szeregowy,...

    Newsy   20 Mar 2020 09:22 Odpowiedzi: 2    Wyświetleń: 1884
  • Ekonomia rynku układów FPGA a technologia firmy Efinix

    Do tego ograniczyć dyski SSD po SATA, a zamiast tego dać np. 6 slotów po m.2 oraz pozostawić kilka portów SATA dla dysków HDD, DVD i tp. Ale te M.2 trzeba jakoś połączyć z procesorem (obojętnie, czy CPU, czy GPU). W tym celu na każdy m.2 potrzebujesz 4 linii PCIE, czyli dla 6 masz 24. Teraz zobacz ile linii PCIE oferują budżetowe CPU i robi się problem....

    Artykuły   10 Sty 2022 21:17 Odpowiedzi: 15    Wyświetleń: 1479
  • FPGA - początki

    zalezy na co patrzysz, ja mowie o wyskopoziomwym programowaniu (C) i opisie sprzetu(VHDL) oraz o nieskopoziomowym programowaniu (ASM) i opisie sprzetu (schematy,VHDL tez choc i w C mozna robic wstawki asemblerowe wiec jest podobienstwo) Roznica jest taka ze C PASCAL ASM itd to jezyki prograowania a VHDL Verilog to jezyki opisujace sprzet. co do procesora,...

    Projektowanie PCB   24 Sty 2006 13:34 Odpowiedzi: 15    Wyświetleń: 4209
  • Kto korzystal z opencore dla FPGA

    Rzecz ma sie calkiem prosto. W wielkim i niedoskonalym skrocie mowiac : Z programowaniem w VHDL czy Verilogu jest prawie tak samo jak z pisaniem w asemblerze, Basiku czy C. Z ta jednak roznica ze efektem programu napisanego w C czy ASM jest plik stanowiacy program wedlug ktorego procesor postepuje z danymi. Wynikiem programu napisanego w VHDL czy Verilog...

    Programowalne układy logiczne   10 Cze 2005 21:20 Odpowiedzi: 4    Wyświetleń: 1984
  • FPGA, SRAM, 51. Połączenie i translacja poziomów. Ulepszenie układu.

    Hmmm.. na moje używanie FPGA tylko jako SRAM mija się z celem. Mało z tego wyciśniesz, a musisz w układzie zawrzeć dodatkowe układy do zasilania i programowania Spartana. Sprawę pogarsza fakt, że Spartan wymaga bodajże dwóch napięć zasilania. Do tego bufory konwertujące napięcia. W stronę 5V -> 3,3V daje się to zrobić na układach 74LVX125 (lub 74LVX244),...

    Programowalne układy logiczne   17 Lip 2006 22:42 Odpowiedzi: 3    Wyświetleń: 2132
  • Komunikacja ARMa z FPGA przez SOPC

    ARMem programuje FPGA z flasha, wykorzystuje też kilka jego peryferiów i mogę na nim odpalić sprawdzonego FreeRTOS. Do tego chce mieć uC a Nios jest płatny, FPGA też mam nie za duże(Cyclone II) i szkoda mi LE.

    Programowalne układy logiczne   21 Paź 2008 10:33 Odpowiedzi: 2    Wyświetleń: 1215
  • [FPGA] - Przesyłanie danych między układem FPGA a komputerem

    Możesz to zrobić w VHDL-u zależy co chcesz zrobić. Dlatego podaj coś dokładniej... Chodzi o to, żeby wysłać z komputera do karty jakiś tekst np. "ala ma kota". W odpowiedzi karta ma zwrócić liczbę - ilość znaków w tekście. Problem w tym, że nie mam pojęcia jak na FPGA oprogramować komunikację z komputerem. Nie musi być koniecznie USB. Ważne by przesyłać...

    Programowalne układy logiczne   12 Maj 2010 08:12 Odpowiedzi: 16    Wyświetleń: 4939
  • [Sprzedam] Płytka edukacyjna ALTIUM - FPGA - CYCLONE

    Witam, przedmiotem oferty jest płytka ewaluacyjna ALTIUM Live Design Evaluation Board z układem FPGA Cyclone. Opis płyty: Płyta doskonale nadaje się do nauki programowania układów FPGA i urządzeń peryferyjnych - ma spore możliwości. Wykorzystywałem ją do konstrukcji pracy magisterskiej, w chwili obecnej nie jest mi już potrzebna. Bez problemu współpracuje...

    Ogłoszenia Elektronika   04 Mar 2012 17:31 Odpowiedzi: 0    Wyświetleń: 1042
  • Mobilny dwukanałowy oscyloskop z wykorzystaniem układu FPGA

    Witam! Opisany tutaj projekt jest moją pracą inżynierską na Politechnice Wrocławskiej dlatego nie będzie to opis bogaty w schematy i pliki źródłowe :) Schemat blokowy: ZASILANIE Całe urządzenie zasilane jest z akumulatora Li-ion, który to jest doładowywany przez układ MCP73837, po podłączeniu pod gniazdo USB lub zewnętrzny zasilacz. Proces ładowania...

    DIY Konstrukcje   08 Maj 2013 08:29 Odpowiedzi: 12    Wyświetleń: 22503
  • Wybór układu FPGA dla początkującego elektronika.

    Witam serdecznie. Jestem studentem II roku EiT, ostatnio zacząłem na laboratoriach z Techniki Cyfrowej przygodę z programowaniem płytki DE1 Altera w programie Quartus II i chciałbym pogłębiać swoją wiedzę również poza zajęciami. Rozglądając się po Ebay'u natknąłem się na taką płytkę: FPGA Cyclone Altera FPGA EP1C3T144 Development Learning Board Szukam...

    Projektowanie Układów   31 Paź 2014 16:27 Odpowiedzi: 1    Wyświetleń: 1587
  • FPGA - GPIB na USB, Implementacja uC na układzie FPGA

    Dzień dobry Jestem w trakcie wyboru tematu na pracę dyplomową, widzę interesujące tematy takie jak te z tematu postu czyli: 1.Wykonanie konwertera USB/GPIB na FPGA 2.Wykonanie na zestawie ewaluacyjnym (np. Altera DE2) implementacji mikrokontrolera konsoli takiej jak Commodore 64 czy NES, łączenie się do monitora przez wyjście VGA itp. Teraz moje pytanie...

    Programowalne układy logiczne   08 Gru 2014 19:00 Odpowiedzi: 6    Wyświetleń: 2394
  • FPGA/spartan3s200 - Przyśpieszenie pracy FPGA - kontroler SDRAM

    Czy to może być powododem problemów z programowaniem? No raczej. To znaczy że nie przechodzi "fitting"*. Czyli wkładanie zaimplementowanego układu do konkretnej wersji układu FPGA ("obudowy"). Ale to jeszcze podczas kompilacji. Jak byś przewinął okno procesów to by było widać. A błąd fizycznego programowania wynika raczej z czegoś innego (w pewnym...

    Programowalne układy logiczne   27 Sty 2015 17:21 Odpowiedzi: 18    Wyświetleń: 2847
  • [FPGA] - Srodowisko bez dodatków

    Cześć! Ostatnio bardzo powoli zacząłem ogarniać FPGA (z pomocą płytki nano z ukłądek Cyclone IV) I tutaj moje pytanie, przyzwyczajony jestem z programowania mikroprocesorów, że sam sobie wszystko ustawiam i ustalam. Dlatego dla uC nie używam żadnych wizardów i innych pierdół jak np. CodeWarrior który ma niby pisać kod za nas na podstawie ustawień....

    Programowalne układy logiczne   21 Cze 2015 09:34 Odpowiedzi: 8    Wyświetleń: 2226
  • [Sprzedam]Nexys 3 Spartan-6 FPGA Board

    Witam, tak jak w tytule wiadomości sprzedam zestaw Nexys™3 Spartan-6 FPGA Board. Posłużył mi on jako moduł do skonstruowania kilku konstrukcji, między innymi oscyloskopu oraz analizatora stanów logicznych. Jest to całkiem rozsądna propozycja dla osób, które planują nauczyć się jak w praktyce działają układy FPGA, a dostępność sporej ilości peryferiów...

    Projektowanie Bazar   26 Kwi 2015 20:07 Odpowiedzi: 0    Wyświetleń: 684
  • [Sprzedam] Nexys 3 Spartan-6 FPGA Board

    Witam, tak jak w tytule wiadomości sprzedam zestaw Nexys™3 Spartan-6 FPGA Board. Posłużył mi on jako moduł do skonstruowania kilku konstrukcji, między innymi oscyloskopu oraz analizatora stanów logicznych. Jest to całkiem rozsądna propozycja dla osób, które planują nauczyć się jak w praktyce działają układy FPGA, a dostępność sporej ilości peryferiów...

    Projektowanie Bazar   19 Paź 2015 18:54 Odpowiedzi: 0    Wyświetleń: 1077
  • XLR8 - płytka deweloperska z FPGA MAX10 dla użytkowników Arduino.

    XLR8 to płytka deweloperska z układem FPGA, zaprojektowana w celu przyśpieszenia operacji i funkcji sprawiających problem platformie Arduino. Firma Alorium Technology przedstawiła płytę deweloperską XLR8 zaprojektowaną specjalnie w celu pokonania ograniczeń i zdolności obliczeniowych platformy Arduino. XLR8 oferuje akcelerację operacji matematycznych...

    Newsy   27 Paź 2015 21:19 Odpowiedzi: 0    Wyświetleń: 1464
  • Snickerdoodle - płyta rozwojowa z ARM/FPGA i 154 I/O (Crowd Supply)

    Firma o nazwie krtkl rozpoczęła, za pośrednictwem serwisu Crowd Supply, gromadzenie funduszy na realizację projektu płyty rozwojowej o nazwie Snickerdoodle. W konstrukcji Snickerdoodle wykorzystany został układ Zynq Z7010 firmy Xilinx integrujący w sobie, taktowany częstotliwością 667MHz, dwurdzeniowy procesor ARM typu Cortex-A9, układ FPGA z 28 tysiącami...

    Newsy   27 Paź 2015 16:18 Odpowiedzi: 0    Wyświetleń: 1281
  • FPGA czytnik kodów 1D- Własny czytnik kodów kreskowych na kamerze z FPGA

    Witam, mianem wstępu: jestem początkującym programistą C++, a systemy wizyjne znam z rozwiązań COGNEXA,IFM i od niedawna z programu Adaptive Vision-w skrócie służy właśnie do tworzenia systemów wizyjnych. Na co dzień zajmuję się automatyką i programowaniem sterowników. Teraz akurat zajmuję się tematem systemami wizyjnymi sprawdzającymi laserowo naniesione...

    Programowalne układy logiczne   15 Sty 2016 15:46 Odpowiedzi: 2    Wyświetleń: 2817
  • Olimex iCE40HX1K-EVB - niewielka płytka rozwojowa FPGA z iCE40 i UEXT

    Firma Olimex opublikowała zdjęcia prototypu nowego modelu płyty rozwojowej o nazwie kodowej iCE40HX1K-EVB. Sercem modelu iCE40HX1K-EVB jest układ iCE40HX1K-VQ100 firmy Lattice Semiconductor. Programowanie odbywać może się z użyciem OLinuXino i za pośrednictwem interfejsu UEXT. iCE40HX1K-EVB posiada wlutowane 256KB pamięci SRAM i 2MB pamięci Flash....

    Newsy   15 Maj 2016 18:54 Odpowiedzi: 1    Wyświetleń: 1959
  • Quokka - płytka prototypowa z FPGA i WiPy i sterownikiem H-Bridge (Kickstarter)

    Quokka to finansowany za pośrednictwem serwisu Kickstarter projekt płytki prototypowej Evgenyego Muryshkina. Sercem płytki jest układ Altera Cyclone IV (EP4CE6E22C8) firmy Intel. Programowanie płytki odbywać może się z użyciem Quokka Develpment Toolkit (QDT) i języka C#. Płytka posiada wlutowany moduł WiPy umożliwiający łączność bezprzewodową w standardzie...

    Newsy   15 Sty 2018 19:49 Odpowiedzi: 1    Wyświetleń: 1101
  • Fomu - płytka prototypowa FPGA wielkości wtyku USB (Crowd Supply)

    Fomu to finansowany za pośrednictwem serwisu Crowd Supply projekt nowej płytki prototypowej Sutajio Ko-usagiego. Fomu stanowić ma alternatywę dla projektów, takich jak: iCEBreaker FPGA, TinyFPGA BX i Tomu. Sercem płytki jest układ ICE40UP5K firmy Lattice zawierający 5280 komórek logicznych. Programowanie płytki odbywać może się z użyciem narzędzi,...

    Newsy   06 Sty 2019 16:50 Odpowiedzi: 5    Wyświetleń: 1287
  • Perf-V - płytka prototypowa FPGA z RISC-V

    Perf-V to nowa płytka prototypowa społeczności PerfXLab. Perf-V przypomina LicheeTang i Fomu. Sercem Perf-V jest układ Artix-7 (XC7A35T-1FTG256C) firmy Xilinx zawierający 33280 bloków logicznych, 90 bloków DSP i 41600 bloków CLB. Programowanie Perf-V odbywać może się w środowisku Perf-V lub z użyciem oprogramowania Vivado. Perf-V ma wlutowane 256MB...

    Newsy   26 Cze 2019 10:04 Odpowiedzi: 0    Wyświetleń: 561
  • Kurs FPGA Lattice MachXO2 w języku Verilog: publikacja w Elektronice Praktycznej i na GitHubie

    Chciałbym zaprosić wszystkich zainteresowanych do mojego kursu FPGA Lattice w języku Verilog. W kursie wykorzystujemy FPGA typu MachXO2 z uwagi na jego niską cenę, prostą do lutowania obudowę i duży potencjał w wykorzystaniu na potrzeby hobbystycznych projektów. Kurs jest publikowany w Elektronice Praktycznej, a także dostępny jest na stronie ep.com.pl....

    Programowalne układy logiczne   09 Mar 2024 12:50 Odpowiedzi: 18    Wyświetleń: 2364