REKLAMA

generator logiczny

Znaleziono około 1030 wyników dla: generator logiczny
  • Rodzaje generatorów. Opis do referatu. Sprzężenie zwrotne.

    Witam!Pilnie potrzebuje do referatu opis 4 rodzajów generatorów(MEISSNERA, HARTLEYA, COLPITTSA, CLAPPA) oraz GENERATORY BRAMEK LOGICZNYCH I GENERATORY STABILIZOWANE KWARCEM. Mam wziąć pod uwagę SPOSÓB SPRZEŻENIA ZWROTNEGO, STOSOWANE ZAKRESY CZĘSTOTLIWOŚCI, KSZAŁT SYGNAŁU WYJŚCIOWEGO.Będę bardzo wdzięczny za pomoc. Obiecuję, że sie odwdzięcze!Z góry...

    Początkujący Elektronicy   25 Lut 2005 22:25 Odpowiedzi: 1    Wyświetleń: 2326
  • Generator stanów logicznych.

    Szukam schematu takiego urządzenia jak generator stanów logicznych. Chodzi mi o to by na wyjściu uzyskać logiczną 1 lub 0. Na forum znalazłem tylko jeden temat, w większości mówiący o zworze ale nic konkretnego.

    Ogłoszenia Elektronika   06 Sty 2010 16:21 Odpowiedzi: 3    Wyświetleń: 1538
  • [poszukuję prog]Generator sekwencji stanow logicznych na lpt

    Witam Poszukuję programu, który wysyłał by sekwencje stanów na piny lpt (np. symulacja I2C). Przegooglowałem temat, użyłem przycisku szukaj na elektrodzie :) i max, który znalazłem to wysyłanie sekwencji znaków na port, co jest naprawdę uciążliwe. Mam na tapecie uciążliwy projekcik i nie chcę tylko dla niego kupować analizatora z generatorem. Poprawiono...

    Ogłoszenia Elektronika   16 Kwi 2010 23:10 Odpowiedzi: 0    Wyświetleń: 870
  • REKLAMA
  • Analizator stanów logicznych - czy potrzebny?

    To może tak, prosty analizator stanów logicznych zwykle będzie przystawką USB do PC, natomiast analizator USB PC nie zawsze będzie prostym urządzeniem. Czasami analizator USB będzie zawierał wbudowaną pamięć próbek a nawet dodatkowe kanały ADC czyli pseudo oscyloskop, lub generator DDS ew. generator sekwencji logicznych. Ciekawa sprawa, że analizatory...

    Tematy tygodnia   07 Cze 2018 17:08 Odpowiedzi: 6    Wyświetleń: 3699
  • REKLAMA
  • Szukam chętnych na PoScope (oscyloskop,generator,analizator)

    Witam. Szukam chętnych na PoScope. Jest urządzenie wielofunkcyjne, oscyloskop 2 kanałowy, generator stanów logicznych, analizator stanów logicznych, generator PWM i inne funkcje. Urządzenie podłączane jest do komputera PC poprzez USB. Zestaw zawiera oprogramowanie, 2 sondy, przewód USB i wiązkę do analizatora/generatora. Szukam kilku osób, aby móc negocjować...

    Ogłoszenia Elektronika   19 Sty 2009 22:10 Odpowiedzi: 6    Wyświetleń: 2681
  • Generator sygnałów prostokątnych

    Witam, na wstępie powiem że była to robiona praca na zaliczenie na studiach na trzecim semestrze informatyki przedmiot Nauki technologiczne Wstęp Tworząc jakikolwiek układ elektroniczny ważne jest co chcemy stworzyć i jak podejdziemy do realizacji projektu. Zastanawialiśmy się wiele razy co chcielibyśmy stworzyć i w jaki sposób. Naszym głównym założeniem...

    DIY Poczekalnia   21 Sie 2020 18:31 Odpowiedzi: 5    Wyświetleń: 8052
  • GENERATOR STANOW LOGICZNYCH

    CZY MA KTOS SCHEMAT IDEOWY ALBO WIE GDZIE MOZNA ZNALESC INFORMACJE NA TEMAT GEN. STANOW LOG. KTOREGO PRZEBIEG WYJ. SLUZY DO TAKTOWANIA WEJSC ZEGAROWYCH LICZNIKOW 74LS90 , 92, 93 DZIEKUJE

    Warsztat elektronika   21 Maj 2003 21:49 Odpowiedzi: 4    Wyświetleń: 1433
  • Generator stanów logicznych na porcie LPT

    Witam. Mój problem polega na tym, iż ów generator jest tematem mojej pracy inżynierskiej, a na dzień dzisiejszy nie posiadam dostatecznej wiedzy by go zrealizować. Myślę, że niezłym rozwiązaniem byłoby napisanie jakiegoś programu, który generowałby stany logiczne bezpośrednio na porcie LPT odtwarzane z graficznie narysowanych przebiegów prostokątnych...

    Programowanie   05 Lut 2007 19:32 Odpowiedzi: 9    Wyświetleń: 1626
  • REKLAMA
  • Generator logiczny na LPT

    witam Poszukuje jakiegos generatora logicznego pod win aby wspolpracowal z LPT, kiedys widzialem taki programik, nie zalezy mi na duzych czestotliwosciach do 1kHz. Bardzo mi zalezy aby byl pod windowsa. Bede wdzieczny za pomoc pozdrawiam

    Projektowanie PCB   02 Gru 2007 18:28 Odpowiedzi: 2    Wyświetleń: 1116
  • Generator astabilny na układzie 74047.

    Możesz to spokojnie uzyskać łącząc funkcje 4541 i 4538. Pierwszy generuje długie "0" i po odliczeniu czasu T1 (np 2^16 * T_gen) pojawiająca się na wyjściu "1" uruchamia monowibrator 4538. Ten odpowiada impulsem o okreslonym czasie T2. Oba sygnału po zsumowaniu dają pożądany przebieg gdzie czas logicznego (długiego) "0" precyzujesz częstotliwością generatora...

    Początkujący Naprawy   26 Cze 2011 14:55 Odpowiedzi: 15    Wyświetleń: 5199
  • Najprostsze generatory na bramkach logicznych 4011 - materiały / książki

    Witam. Dział w sam raz, więc temat tutaj zostawię. Jeśli znacie / znajdziecie przystępne materiały (książki, artykuły) o najprostszych generatorach budowanych na pojedynczych bramkach logicznych (schematy, wzory, obliczenia, teoria) będę wdzięczny za podlinkowanie. Dla jasności - nie chodzi o rozwiązania bardziej wyspecjalizowane (np. na popularnej...

    Początkujący Elektronicy   12 Paź 2017 11:10 Odpowiedzi: 30    Wyświetleń: 4419
  • Generator prostokąta o wypełnieniu 1:1 jak obliczyć

    Witam. Niestety na temat 4066 i o jego regulacji nic nie mogłem znaleźć. Oczywiście żartujesz? Sieć jest "zawalona" informacjami o tych kluczach, a polecano zupełnie inny układ. Jony pisał o '4060. W moim przypadku potrzebuję wypełnienia 100% (1:1)- czy to się zgadza? W takim razie nie potrzebujesz żadnego generatora, tylko jedynki logicznej. Tak na...

    Początkujący Naprawy   19 Sty 2012 16:33 Odpowiedzi: 13    Wyświetleń: 4928
  • REKLAMA
  • Generator DDS na FPGA

    To moja trzecia konstrukcja na FPGA ;) pierwszy był trywialny zegarek na kilku licznikach, a potem prosty kalkulatorek dodający dwie liczby. Tak więc proszę o konstruktywną krytykę i porady, co by tu można jeszcze ulepszyć. FPGA ciekawi mnie już od jakiegoś czasu, ze względu na to, że można na nim zrobić rzeczy, przy których procesory wymiękają. Prezentowany...

    DIY Konstrukcje   19 Lut 2013 19:01 Odpowiedzi: 25    Wyświetleń: 19701
  • Prosty (chyba naijprostszy) układ logiczny.

    układ 4093 jest to NAND w technologi CMOS zbudowany z tz. Przerzutników Schmitta czyli jest tz. bramką Schmitta, nie zagłębając się w budowę działa on prawie tak samo ja "zwykły" NAND. Proponuje prosty generatorek fali prostokątnej . jest to jedna bramka Schmitta (może być NAND z podanym na sztywno na jednym wejściu "1") równolegle do niej jest wpięty...

    Początkujący Elektronicy   04 Gru 2005 21:22 Odpowiedzi: 2    Wyświetleń: 1435
  • generator 0,5Hz 1Hz do przerywacza kierunkowskazow

    ...witam...;) ...generator można zrobic na bramkach logicznych...albo jeszcze prosciej na tranzystorach...tworząc generator monostabilny...;) Dodano po 2 ..tutaj jest schemat takiego generatora... ..;)

    Projektowanie Układów   20 Kwi 2007 11:05 Odpowiedzi: 2    Wyświetleń: 2527
  • Budowa gry telewizyjnej PONG z układów logicznych.

    Witam :!: Naszła mnie ochota na zbudowanie kultowego PONG-a, ale nie z arduino czy atmegi 328, lecz z układów logicznych, bez programowania. Po dość długich poszukiwaniach wreszcie znalazłem coś co mi odpowiadało: play.825/#comment-1332 jest to czteroczęściowy instruktarz jak zrobić PONG-a z układów logicznych. W pierwszej części autor mówi jak zrobić...

    Początkujący Naprawy   14 Maj 2018 14:45 Odpowiedzi: 5    Wyświetleń: 684
  • Układ do badania bramek logicznych.

    Mogę tylko wypowiedzieć się na podstawie mojej przestarzałej wiedzy z czasów, kiedy uczyłem się i stosowałem takie stanowisko laboratoryjne Składało się głównie z trzech części: uniwersalnego pola stykowego w którym umieszczano badany układ scalony, zadajnika stanów logicznych na wejścia i wskaźnika otrzymanych stanów logicznych na wyjściach. Dodatkowo...

    Początkujący Elektronicy   05 Maj 2008 20:53 Odpowiedzi: 4    Wyświetleń: 2228
  • Analizator Logiczny Mini Logic 3 czy USBee AX pro

    Koledzy jak w temacie, jestem początkującym elektronikiem ale na etapie kiedy trzeba już się doposażyć i przyszedł czas na analizator logiczny. Na razie znalazłem 2 które odpowiadają mi cenowo i możliwościami: 1) XZL_024 odpowiednik USBee AX Pro 199zł Oscyloskop, analizator logiczny, generator i wiele innych funkcji w jednym urządzeniu, analiza protokołów...

    Warsztat co kupić?   29 Paź 2013 17:30 Odpowiedzi: 1    Wyświetleń: 1794
  • Jak to uruchomić? - generator wysokiego napięcia

    Witam. Te symbole nic nie powiedza, bo to tzw. Mfg Part # lub tp. Te dwa generatorki beda do "bias" (charger) i "eraser" (lampa UV). I pewnie sa aktywowane logicznym LOW lub HIGH (logika 5V). Kazdy generator powinien miec osobne wejscie "enable". Jeden z nich moze miec ponadto wejscie sterujace (z potencjometru) poziom napiecia wyjsciowego. Sprobuj...

    Projektowanie Układów   13 Gru 2007 17:05 Odpowiedzi: 3    Wyświetleń: 2120
  • Pytania odnośnie bramek logicznych. Jak można je łączyć?

    Tak zgadza się nie da się określić stanu dla kombinacji 1 1 na wejściu. A tu schematy generatora na bramkach

    Początkujący Elektronicy   10 Wrz 2008 16:21 Odpowiedzi: 10    Wyświetleń: 4226
  • Generator arbitralny Hantek HDG2022B

    Witam Musze się pochwalić że przejrzałem cały internet :) i niema. Niema żadnej informacji na temat generatorów (z ekonomicznej półki) a dokładniej Hantek HDG2022B co stwarza nie lada zakłopotanie. Czy naprawdę nikt nie ma w Polsce takiego generatora produkowanego seryjnie ? Miał bym kilka pytań do tego modelu bo jeszcze z takim babolem nie miałem do...

    Warsztat elektronika   04 Mar 2017 09:21 Odpowiedzi: 2    Wyświetleń: 1350
  • Jak zmusić PSpice? Zasymulowanie działania generatora.

    Drodzy Forumowicze próbuję zasymulować działanie generatora, jak poniżej Parametry analizy Transient ustalam następujące: Print Step 20n, Final Time 10u, Step Ceiling 1n. Po zasymulowaniu jednak układ się nie wzbudza, dla stanu 0 logicznego napięcie na kondensatorze spada jedynie do 1.5V i ani grama mniej nie chce. Dlaczego ?

    Projektowanie Układów   03 Lut 2007 16:55 Odpowiedzi: 2    Wyświetleń: 1157
  • Praca równoległa generatora z transformatorem

    Generator oczywiście ma układ regulacji, synchronizacji, itp. ja już pisałem o tym. Pytam, bo chcę zadawać sensowne pytania firmie od której zamierzam kupić generator. A chodzi o ok. 800tyś euro (za sam generator:) Więc lepiej sie dopytać dokładnie. Czyli jeszcze raz: 1. moc wypływająca z generatora jest taka, jaka dostarczona na wał z silnika metanowego....

    Elektro Maszyny i Urządzenia   09 Mar 2008 18:50 Odpowiedzi: 20    Wyświetleń: 4974
  • Timer2 z AT89S52 jako generator. Jak zwiększyć obciążalność?

    Czekaj, czekaj, nie podmieniaj. Na jakiej częstotliwości to sprawdzasz? 400kHz to nie byle co. Twój palec to jakieś 10pF a to już spore obciążenie dla 400kHz. Zamiast tranzystora użyj najpierw jako bufora dowolnej bramki logicznej z rodziny 74HCXX.

    Mikrokontrolery   09 Kwi 2008 16:06 Odpowiedzi: 16    Wyświetleń: 2774
  • Generator impulsów ? Dziwna dioda !

    Z natury jetem dociekliwym człowiekiem, ciekawi mnie co w tym układzie ma wpływ na długość impulsu ... Posiadasz narzędzie do poznania działania układu. Układ jest zasilany napięciem pulsacyjnym , a nie stałym. Mostek prostowniczy , mimo swej nazwy , jest elementem logicznym. Z napięcia przemiennego tworzy napięci zmienne o polaryzacji dodatniej, przez...

    Początkujący Elektronicy   30 Paź 2008 10:30 Odpowiedzi: 40    Wyświetleń: 10228
  • Pomiar częstotliwości sygnału z generatora

    Witam, Mam do zrealizowania projekt w programie Max Plus2, który będzie umożliwiał pomiar częstotliwośći sygnału z generatora analogowego i wyświetlanie go na wyświetlaczu 7-segmentowym. Ma to być zbudowane jedynie na bramkach logicznych, licznikach, przerzutnikach(JK, D, T) i tego typu elementach. Utknąłem totalnie w tym. Wymyśliłem sobie, że będę...

    Początkujący Elektronicy   24 Kwi 2015 06:16 Odpowiedzi: 2    Wyświetleń: 669
  • Schemat generatora w.cz.

    Daro_Elektronik, Żeby pomóc efektywnie, musisz podać przeznaczenie generatora. To co poddałeś ocenie nadaje się jako zegar do prostych układów logicznych i to pod warunkiem ze zbocza bedą poprawione. To nie jest generator który można płynnie stroić. O stalilności mozna zapomnieć. Podobne układy, ale z kwarcem, służa jako zegary do taktowania. Ich zaletą...

    Radiotechnika Serwis   13 Sty 2006 01:13 Odpowiedzi: 3    Wyświetleń: 3989
  • Analizator stanów logicznych - PoScope

    Troche slabe parametry... szczegolnie probkowanie w trybie oscyloskopu, za duzo sobie tym nie poogladasz. Sam sprzet moim zdaniem nie jest wart ceny bo takie parametry mozna uzyskac duzo tanszym kosztem (praktycznie mozna to zrealizowac na pojedynczym ARMie z duzo wieksza iloscia pamieci), ale biorac pod uwage ze trzebaby bylo jeszcze pisac oprogramowanie...

    RTV Co kupić?   01 Kwi 2007 22:46 Odpowiedzi: 4    Wyświetleń: 2645
  • Pomysł na analizator stanów logicznych na EZ-USB

    odkopuje, analizatory komercyjne oparte na CY7C68013A-56PVXC : opis USBee SX (pewnie i USBee ZX) vid/pid 08a9/0001. Ciekawszy bo zawiera generator. Patrząc na fotkę pierwszego to potrzebny jest wsad do eeproma i jazda. oscyloskop Two 8-bit channels, each configurable as 8bit analog input or 8 digital inputs. Sampling rate: Tunable in 8 steps from 50kS/s...

    Mikrokontrolery   07 Mar 2009 22:41 Odpowiedzi: 9    Wyświetleń: 6011
  • prosty generator na FPGA - Quartus

    Witam. Czas mija, a ja z cyfrą ciągle na bakier :| Jeśli mógłby ktoś pomóc- poniżej wrzucam obrazek prostego generatorka dwóch przebiegów o stałej częstotliwości przesuniętych o połowę okresu. Proszę o podpowiedź w jaki sposób zrealizować następującą sytuację: przebiegi te mają być wysyłane jako paczki o regulowanej długości(od 2 do 16 okresów) i paczki...

    Początkujący Elektronicy   06 Wrz 2009 11:20 Odpowiedzi: 0    Wyświetleń: 938
  • Generator ultrakrótkich impulsów o regulowanej amplitudzie.

    Tak z ciekawości ten układ ma mieć jakieś konkretne zastosowanie czy robisz go "dla sportu"? Wg. mnie sam układ formowania impulsów to najmniejszy problem. Kiedyś widziałem podobny układ do tego który przedstawiasz ale wykonany na bramkach NAND w technologii ECL. Zastanawiam się czy nie dało by się osiągnąć regulacji napięcia przez zmianę napięcia zasilania...

    Projektowanie Układów   21 Maj 2013 11:58 Odpowiedzi: 33    Wyświetleń: 12033
  • Prosty generator PWM (ATtiny25)

    Prosty generator PWM (Pulse-Width Modulation) zbudowany na mikrokontrolerze ATtiny25/45/85, zasilany stabilizowanym napięciem Vcc=2.7-5.5V (2.4-5.5V jeśli użyjemy ATtiny25V/45V/85V). Na jego wyjściu uzyskuje się sygnał prostokątny o wybranej, stałej częstotliwości 1.25/2.5/5/10/20/40/80 kHz oraz wypełnieniu regulowanym w zakresie 0-100%, z krokiem...

    DIY Konstrukcje   13 Gru 2019 23:15 Odpowiedzi: 32    Wyświetleń: 5910
  • Generatory sygnałowe, akustyczne, funkcyjne - różnice i zastosowanie w naprawie radioodbiorników

    Witam. Od niedawna zainteresowałem się naprawą starego lampowego radioodbiornika, i uznałem że potrzebny mi będzie jakiś generator. Po teoretycznym przeanalizowaniu tematu nie wszystko jest dla mnie do końca jasne. Zauważyłem w sprzedaży generatory: -Funkcyjne -Akustyczne -Sygnałowe Jak dla mnie: Generator akustyczny, to generator generujący sygnał...

    Warsztat co kupić?   26 Gru 2020 13:00 Odpowiedzi: 25    Wyświetleń: 1635
  • Wpływ temperatury na czas propagacji układów logicznych serii 74HCxxx

    Weź sobie jakąś bramkę odwracającą (NOT, NAND), połącz jedną/trzy/pięć (zależnie od szybkości posiadanego oscyloskopu/mirenika częsotliwośći) kaskadowo jedna za drugą, podgrzewaj ukłąd hot-airem i obserwuj jak zmienia się częstotliwość otrzymanego generatora. Z jednej strony większa temperaturaa=niższe napięcie otwarcia złącza p-n tranzystora (a więc...

    Nauka Elektroniki, Teoria i Laborki   09 Mar 2021 17:47 Odpowiedzi: 5    Wyświetleń: 471
  • Właściwe zastosowanie układów 74LS132 i UCY74132 w generatorze-kalibratorze

    Wejście serii LS wygląda tak W podstawowej zamiast rezystora 20k jest 4k to może zmienić punkt pracy układu, można sprawdzić czy zmniejszenie R1 pomoże. Przyznam że układ mi się nie podoba, dlatego że bramka B1 jest częścią oscylatora (przez R4 stan logiczny na wyjściu bramki i prąd wejściowy bramki mocno wpływają na punkt pracy tranzystora). Moim zdaniem...

    Projektowanie Układów   27 Kwi 2022 03:17 Odpowiedzi: 13    Wyświetleń: 378
  • [Sprzedam] Programator z 16 kanałowym analizatorem stanów logicznych 200MHz

    PROMOCJA do końca września 2015 !!!! Programator uniwersalny do programowania układów w systemie (ang. in-circuit) z wbudowanym 16-sto kanałowym analizatorem stanów logicznych o częstotliwości próbkowania do 200 MHz oraz pattern generatorem !!! Uprog ICP jest całkowicie nową konstrukcją programatora przeznaczonego do programowania układów w systemie...

    Inne Bazar   19 Sie 2015 12:50 Odpowiedzi: 0    Wyświetleń: 1185
  • Sprzedam oscyloskop, analizator stanow logicznych, i2c monit

    nowy nieuzywany 2 kanałowy oscyloskop 2 kanalowy analizator widma 2 kanalowy rekorder 16/8 kanalowy analizaor stanow logicznych 8 kanalowy genertor stanow logicznych 5 kanalowy PWM generator SPI sniffer / monitor I2C sniffer / monitor UART sniffer / monitor 1wire sniffer / monitor TECHNICAL CHARACTERISTICS Oscilloscope, spectrum analyzer: - number...

    Ogłoszenia Elektronika   20 Lut 2007 18:39 Odpowiedzi: 10    Wyświetleń: 6222
  • Generator sinusa półtoraokresowego

    Zakres strojenia nie będzie płynny, ma być skokami, tak jak napisałem w pierwszym poście. Myślałem o generowaniu na układach logicznych przebiegu prostokątnego, nie wiem czy da się z taką prędkością, ale myślę, że i takie sie znajdą i skonstruowanie logiki która po wygenerowaniu 1-0-1 zatrzyma się, a następne wyzwolenie tego przebiegu po kolejnym impulsie...

    Radiotechnika Serwis   31 Gru 2007 16:14 Odpowiedzi: 18    Wyświetleń: 2345
  • Poszukuję schemat najprostszego generatora losowego

    Witam :) Chciałem przerobić ten schemat zostawiając tylko dwa obwody led i do jednego podpiąć buzer. Tylko on popiskuje jak sie losuje jak to wyeliminować? :|. pzdr.Xeo Witam. Ten układ działa na tej samej zasadzie co rozwiązanie co ja ci opisałem. Różnica jest taka że w moim opisie generator proponowałem na bramkach, a tu jest na inwerterach, i u...

    Projektowanie Układów   23 Cze 2008 11:29 Odpowiedzi: 14    Wyświetleń: 4525
  • Generator wzorcowy do częstościomierza

    Zgodnie z obietnicą przedstawiam schemat generatora sygnału dla I mieszacza odbiornika ADRET. Schemat zawiera podstawową część generatora wraz z elementami filtrów odsprzęgających zasilanie. Przedstawiony schemat wystarczy by wykonać nastawnik dla generacji częstotliwości 255 kHz, co jest warunkiem odbioru Warszawy 225 kHz. Opis podzielnika syntezy...

    Radiotechnika Początkujący   12 Mar 2010 16:24 Odpowiedzi: 75    Wyświetleń: 37427
  • generator 100Hz ? na 89c2051

    Wykonałem powyższy generator tylko na pic16f84a, przekompilowałem plik *asm. do tego procka i jutro od rana robię próby na przemienniku. Oscyloskop pokazuje jednak przebieg trójkątny :/ wstępny odsłuch bez dekodera ctss (scaner radiowy) wskazuje na słyszalny przebieg. Może w repeaterach sa jakieś filtry i nie bedzie słychać tak bardzo...ale wątpię w...

    Mikrokontrolery   06 Cze 2010 16:30 Odpowiedzi: 14    Wyświetleń: 4313
  • Generator fali prostokątnej - TTL

    Generator w takiej konfiguracji może działać poprawnie z bramkami w technologii CMOS. W takiej bramce można pominąć (w rozważaniach) prąd wejściowy. To struktura unipolarna. Poza tym strefa napięć wejściowych odczytywanych przez bramkę jako logiczna "1" jest w przybliżeniu symetryczna do strefy rozpoznawanej jako logiczne "0". Granica wypada w pobliżu...

    Początkujący Naprawy   22 Kwi 2010 21:39 Odpowiedzi: 8    Wyświetleń: 5205
  • Generator MAX038 zbyt duża częstotlliwość i składowa stała

    Przełączać sygnał najprościej bramkami - np. 74LS00 - skoro chcesz używać starych TTL. To pociąga za sobą konieczność zrobienia zasilania +5V dla nich, chyba że jest ich niewiele, to można różne sztuczki robić z zasilaniem z +6V z diodą w szereg (np. dioda Si plus dioda Schotttky dadzą razem spadek napięcia 1V z 6V na 5V). Ja mam zasadę odsprzęgania...

    Projektowanie Układów   19 Lis 2012 22:44 Odpowiedzi: 153    Wyświetleń: 30902
  • Generator Piłokształtny na wzmacniaczach

    hmm z tego co wiem to źle podłaczony układ multiwibratora działał tak ze generował piłe... niech no sprawdze.. zacytuje fragment mojego sprawozdania z generatora na 7400 znajdującego się w dziale pracownia elektryczna: Ciekawą sprawa w wymienionym generatorze, iż jego parametry wyjściowe sygnału prostokątnego są marne, natomiast dodając wyjście układu...

    Początkujący Elektronicy   15 Maj 2003 01:18 Odpowiedzi: 3    Wyświetleń: 2056
  • generator słowa 8smio bitowego

    "generator słowa 8smio bitowego" samo w sobie nic nieznaczy, może to być losowy generator (generuje wyniki których nieda się przewidzieć/bynajmniej sie niepowinno dać przewidzieć), może to być licznik czyli przy każdym odczycie daje wartość o 1 większą, może to być jakiś układ do kodowania który pobiera 8 bitów i zwraca 8 bitów, lub jakiś mały ALU (aritchmetic...

    Początkujący Elektronicy   22 Wrz 2004 19:02 Odpowiedzi: 2    Wyświetleń: 980
  • Generator na multiwibratorze - kto pomoze zaprojektowac?

    Czesc, nie mam skanera, wiec bedziesz musial wytezyc wyobraznie. :) Wez dwie bramki NOT (N1, N2), wejscia bramek polacz z masa za pomoca rezystorow (R1, R2). Wejscie bramki N1 polacz z wyjsciem bramki N2 za pomoca kondensatora (C2). Podobnie wejscie bramki N2 polacz z wyjsciem bramki N1 (C1). Wyjscia bramek stanowia wyjscia ggeneratora (Q i zanegowane...

    Początkujący Elektronicy   21 Kwi 2005 16:36 Odpowiedzi: 5    Wyświetleń: 3414
  • generator impulsow - co i jak?

    Inwertować znaczy odwrócić poziomy logiczne, czyli napięć. W układach logicznych serii 4000 (i nie tylko) są np. hex inverters (po sześć sztuk w IC). Prosty inwerter na tranzystorze NPN, np BC458 wygląda tak: - Wejście: podajesz na bazę przez szeregowy opornik 10k....100k. Emiter na masę. - Wyjście: bierzesz z kolektora. Kolektor zasilasz z +Vcc przez...

    Początkujący Elektronicy   18 Sie 2005 21:39 Odpowiedzi: 14    Wyświetleń: 2196
  • Generator monostabilny zasilany bateryjnie, jaki?

    A Mogę Was prosić o schemat oparty o układ CD4060, ze wskazaniem elementu, który będzie regulował czas trwania impulsu ? Dodam jeszcze dla pewności, że chodzi mi o układ, który w chwili t=0 na wejściu ma jedynkę logiczną, a po upływie ustawionego czasu ma 0 logiczne. P.S. Czy układ zbudowany na CD4060 wytrzyma napięcia ok. 14.5V ? (Myślę o zastosowaniu...

    Projektowanie Układów   18 Lis 2006 18:44 Odpowiedzi: 12    Wyświetleń: 3463
  • ATMEGA8 vs. AT90S2313 - Czy logicznie są takie same?

    z prędkością 8Mhz podzielone przez 8 czyli 1Mhz. Żeby odpalić na kwarcu i wyłączyć dzielnik / 8 musisz przeprogramować fusebity Tak, ale dotyczy np. ATTINY2313. Mega8 fabrycznie też chodzi na wewnętrznym generatorze 1MHz. Można ponadto ustawić wewnętrzne taktowanie na 2, 4, 8MHz, a także wiele innych sposobów taktowania. Weteran AT90S2313 nie miał...

    Mikrokontrolery   25 Kwi 2007 12:24 Odpowiedzi: 18    Wyświetleń: 2368
  • Generator wielokanałowy (4kanały) DDS (lub nie) do 0-200kHz

    Dzięki za podpowiedzi. Przestudiuję noty katalogowe układów. Przyznam że na początku zastanawiałem się czy nie dałoby się tego zrobić analogowo bez użycia żadnego procesora miałem pomysły np na 3xICL8030 lub 3Xmax038 lub jakiś inny generator, ale nie widzę realnego sposobu na zsynchronizowanie fazy w takim rozwiązaniu. Zastanawiałem się też nad jakimś...

    Mikrokontrolery   23 Kwi 2009 16:24 Odpowiedzi: 13    Wyświetleń: 5732
  • Sygnały i bramki logiczne

    Witam, przeglądam rożne fora i strony internetowe w poszukiwaniu wiadomości związanych z bramkami logicznymi i sygnałami (jakie mogą być podawane na wejście bramek) i nie mogę znaleźć literatury pomocnej w naprowadzeniu na rozwiązanie problemu lub jeśli można poprosić o pomoc w schemacie układu. Interesują mnie takie dwie rzeczy: 1. generowany jest...

    Początkujący Elektronicy   13 Paź 2008 20:04 Odpowiedzi: 4    Wyświetleń: 2015
  • Projekt sondy logicznej. Jak to zrobić?

    Witam. Może być ciężko z tą pomocą wobec tego jak sam napisałeś, że się na takich rzeczach nie znasz. Ale popatrz na początek na to. Albo gotowe, tylko kupować. A zapytam tak z ciekawości, po co Tobie taka sonda skoro sie na takich rzeczach nie znasz ?

    Początkujący Elektronicy   17 Kwi 2009 19:01 Odpowiedzi: 2    Wyświetleń: 1070
  • Jak zaprogramować uC do prostych funkcji logicznych?

    No a mają one możliwość generowania kodu dla opisu za pomocą grafu ? Są darmowe narzędzia, które przekształcają graficzny graf (kółka, strzałki etc) na pełny opis HDL jako FSM (Finite State Machine), jest tego pełno. Ja tam preferuje ręczny coding maszyny. Jeśli chodzi o uC to mają większe możliwości gdy idzie o rozbudowę softu, dodanie jakiś skomplikowanych...

    Mikrokontrolery AVR   17 Lip 2011 20:06 Odpowiedzi: 16    Wyświetleń: 2822
  • Generator synchroniczny-zależność obciążenia od mocy

    Witam, mam pewien problem logiczny do rozwiązania, otóż: jest generator synchroniczny o mocy 1000MW. Prędkość obrotowa = 1500 obr/min Liczba par biegunów = 2 Częstotliwość sieci = 50Hz Jako że ten generator pracuje w sieci elektroenergetycznej występują zmiany obciążenia a co za tym idzie zmiana prędkości obrotowej i częstotliwości. Moim pytaniem jest...

    Elektro Maszyny i Urządzenia   16 Mar 2012 20:56 Odpowiedzi: 1    Wyświetleń: 1908
  • Wyznaczanie okresy generatora

    A czytałeś dokładnie opis? - czy Ci się nie chciało? "Cykle te są sterowane właśnie przez generator na bramce U4B. Okres tego generatora wynosi kilka sekund i wyznaczony jest przez elementy C6R6. Dodatkowo na okres tego generatora ma wpływ pomocniczy generator U4A o jeszcze mniejszej częstotliwości. Dzięki elementom D21, R5 okres generatora U4B jest...

    Początkujący Naprawy   03 Paź 2012 11:45 Odpowiedzi: 3    Wyświetleń: 885
  • Analizator stanów logicznych

    Przeprowadziłem prosty eksperyment dotyczący opóźnień wprowadzanych przez zabezpieczenia na wejściach jak na załączonym schemacie. Polegał na 1000x zebraniu 1000 próbek i zliczeniu różnic pomiędzy wartościami w kanale "referencyjnym" - CH0 a wartościami w kanałach zabezpieczonych (150 ohm + BAT85 lub BAT41). Wyniki: - dla generatorów 12 MHz, 18.432...

    DIY Konstrukcje   18 Lis 2012 15:07 Odpowiedzi: 18    Wyświetleń: 12150
  • FT232RL zasilanie układu, sygnały logiczne

    tak na szybko zauważone drobiazgi: SCH: - staraj się w polu wartości (Comment) stosować dokładne part number wybranego komponentu lub przynajmniej skróconą jego wartość. Zalecam nie stosować pola Comment do oznaczania funkcji pełnionej przez komponent (np. LCD_Control, JTAG). Lepiej użyć do tego celu Text String. cpu: - nety TCK, TMS, SCL_I2C, RESET...

    DSP i Transmisja   30 Gru 2012 12:17 Odpowiedzi: 12    Wyświetleń: 5357
  • Generator funkcji oparty na układzie AD9833

    Generator funkcji oparty na AD9833, podłączany poprzez port USB. Jedynym urządzeniem, jakiego autorowi brakowało w jego pracowni był właśnie generator funkcji. Jako, że powszechnym jest przekonanie, że są one drogie, nie kupił go. Pomyślał jednak, że może być to całkiem ciekawe wyzwanie, aby zrobić taki generator własnoręcznie. Wyszukał całkiem ciekawy...

    DIY Zagranica   12 Kwi 2013 08:52 Odpowiedzi: 2    Wyświetleń: 28557
  • Bascom - Generator impulsów z wypełnieniem

    Nawiązując do wcześniejszej wypowiedzi chce zbudować generator regulowany za pomocą 2 potencjometrów. Jednym chcę regulować czas trwania logicznej 1 a drugim potencjometrem czas trwania logicznego 0. Czasy obu sygnałów regulowane w przedziale 0,05ms - 5ms. [syntax=basic] $regfile = "m16def.dat" $crystal = 16000000 Config Lcdbus = 4 Config Lcd = 20 *...

    Mikrokontrolery AVR   19 Lip 2014 13:53 Odpowiedzi: 21    Wyświetleń: 4728
  • Prosty układ elektroniczny z bramkami logicznymi

    Nie jest dla mnie jasne: czy mają być dwa LED-y wspólne dla wszystkich gniazdek, czy dla każdego osobna para LED-ów? Natomiast trzeba zrobić generator sygnałów - jakikolwiek generator impulsów, które podajesz na licznik "Johnsona", np. CD4022 - liczy do 8 tak, że stan H wędruje po kolejnych wyjściach (a na pozostałych jest L; można skrócić cykl łącząc...

    Początkujący Elektronicy   07 Maj 2015 18:33 Odpowiedzi: 15    Wyświetleń: 1302
  • Generator sygnalu prostokatnego o czestotliwosci 1kHz

    To w zależności do czego jest potrzebny ten generator. Na 555 można wykonać takie coś- na internecie jest sporo schematów. Ale będzie trochę niestabilny. Jak jakość sygnału nie będzie miała znaczenia to możesz wykonać też multiwibrator astabilny. Jak ma być to generator bardzo dokładny do zastosowań np. radiowych to polecam bardziej zaawansowane i stabilniejsze...

    Początkujący Elektronicy   23 Paź 2015 14:25 Odpowiedzi: 14    Wyświetleń: 2820
  • generator sterowany napięciem VCO 1 Mhz

    Widzę że próbujesz użyć bramek logicznych w LTspice, te elementy powstały jako nieudokumnetowana funkcja i są stosowane w modelach od LT, po prostu nie tworzono ich tak by były łatwe w użyciu, choć teraz wiadomo jak ich używać, trochę trzeba poczytać, mają "dziwne" właściwości, jak domyślne poziomy logiczne 1V - to trzeba by przestawić, nie pamiętam...

    Początkujący Elektronicy   15 Lis 2015 21:58 Odpowiedzi: 5    Wyświetleń: 3618
  • Oscyloskop z analizatorem logicznym czy oscyloskop + analizator logiczny?

    Cześć Potrzebuję oscyloskopu jednak korzystam także z analizatora logicznego Chcę dekodować OneWire, I2C, RS'a (232,485) Opcje: Rigol DS1054Z + Kingst LA2016 Rigol DS1054Z + Kingst LA5016 Rigol MSO1074Z (posiada 16 kanałowy analizator logiczny) Czy polować na modele keysight / Rosh&Schwarz czy dołożyć trochę i kupić coś Tektronixa? Analizator widma...

    Warsztat co kupić?   04 Maj 2018 18:40 Odpowiedzi: 4    Wyświetleń: 1011
  • Generator wzorcowy GPS SYNC2

    Poniższy projekt jest ulepszoną wersją prezentowanego przeze mnie jakiś czas temu generatora wzorcowego synchronizowanego z odbiornikiem GPS. W związku z tym opis będzie krótszy, wspomnę tylko o różnicach oraz nowych funkcjonalnościach urządzenia. Przed dalszą lekturą warto zapoznać się z protoplastą.

    DIY Konstrukcje   10 Gru 2018 20:59 Odpowiedzi: 5    Wyświetleń: 2691
  • Zamień płytkę BluePill z STM32 w analizator logiczny kompatybilny z Sigrok

    „Blue Pill” to popularna płytka rozwojowa układem STM32. Jej popularność wynika z jej niskiego kosztu - zaczyna się od zaledwie 1,50 dolar - oraz z kompatybilności z Arduino IDE dzięki projektowi STM32duino. Powstało wiele ciekawych projektów, opierających się na tym module. Jednym z ciekawszych jest oprogramowanie, stworzone przez użytkownika...

    Newsy   15 Lis 2020 23:17 Odpowiedzi: 4    Wyświetleń: 1992
  • Uproszczenie generatora pojedynczego impulsu do jednego przekaźnika

    4093 jest o tyle wygodny zawiera cztery dwuwejściowe bramki NAND z histerezą, czyli każda z nich, oprócz funkcji logicznej NAND, robi to, co realizuje u ciebie przekaźnik z tranzystorem, na wejście podajesz wolno narastające napięcie z układu RC, a bramka robi z tego przebieg o nagłej zmianie stanu, po przekroczeniu progu/odmierzonego czasu, zaletą...

    Początkujący Elektronicy   30 Lis 2023 00:59 Odpowiedzi: 59    Wyświetleń: 996
  • Generator prostokątny do rowerowego czujnika PAS

    Kilka lat temu zelektryfikowałem swój stary holenderski rowerek. Przepisowy silnik, przepisowy sposób sterowania polegający na wykrywaniu ruchu obrotowego pedałów. Zasada jest prosta: kręcisz pedałami, silnik Cię trochę wspiera (poziom wspomagania można ustawiać). Nie kręcisz, nie jedziesz :-) Na osi suportu pedałowego mamy czujnik PAS i kółko z określoną...

    DIY Konstrukcje   11 Lut 2024 22:22 Odpowiedzi: 14    Wyświetleń: 2115
  • Analizator stanów logicznych(oscyloskop)-jaki scalak?

    z moich innformacji wynika ze jest tam (w opisie schematu)istotny blad uniemozliwiajacy uruchomienie tego ukladu. musisz kupic uklad UM3561 a nie jak jest w opisie UM5361. uklad UM5361 z tego co wiem nie istnieje i nigdyz nie istnial. Natomiast uklad UM3561, ktory sie do tego nadaje jest to Sound Generator sprytnie wykorzystany w roli klucza sprzetowego....

    Projektowanie Układów   06 Kwi 2008 13:04 Odpowiedzi: 7    Wyświetleń: 4460
  • Potrzebny generator

    Cze. Potrzebuje układzik w miarę prosty który można by dołączyć do wejścia przerwania mikroprocesora AT98S8252. Myślałem o zwykłym generatorze na dwóch bramkach i jakimś scalaku serii CD4000 Tylko czy taki sygnał ze zwykłej bramki logicznej zostanie rozpoznany jako przerwanie czy trzeba go jakoś formować ?? Buduje sobie cos w rodzaju sterownika węża...

    Mikrokontrolery   12 Wrz 2003 23:40 Odpowiedzi: 4    Wyświetleń: 1399
  • Włącznik do generatora w.cz.

    Zna ktoś schemat układu który wykorzystywał by mikroprzyciski do sterowania tranzystorem (włączanie i wyłączanie), jedno wciśnięcie tranzystor pracuje a następne nie. Zastosuj po prostu przerzutnik typu T (technika cyfrowa). Jako, że gotowego takiego przezutnika raczej nie dostaniesz, to robi się go z przerzutnika J-K, np. bierzesz układ scalony 7473...

    Radiotechnika Serwis   05 Gru 2003 20:26 Odpowiedzi: 1    Wyświetleń: 981
  • Jak zrobic na procku generator sinusa trojkata i pily i ...

    :arrow: Sanjuro: No toś mnie bracie wkurzył... :evil: Bezmyślność twojej wypowiedzi mogę jedynie tłumaczyć twoim brakiem doświadczenia. Pomyśl logicznie... Miałem na myśli, że zrobię sobie prototyp jakiegoś urządzenia na próbce - spodobało mi się, mam odbiorców powiedzmy na 100 sztuk. A tu dowiaduję się, że muszę kupić 10.000 i to przez pośrednika z...

    Mikrokontrolery   25 Kwi 2004 17:04 Odpowiedzi: 34    Wyświetleń: 10200
  • Generator funkcyjny na ICL 8038 lub max038

    promotor mówił ze ten generator ma obsłuzyc standardy układów logicznych czyli bramki orzerzutniki itp , moze tez byc zrobione tak ze mozna dołaczyc z zewnatrz generator aby zwiekszyc zakres tego podstawowego . ja sie zastanawiam nad tym maxem i stymi próbkami o których mówicie mozecie cos wiecej mi o tym powiedziec jak zdobyc te próbki !!

    Projektowanie Układów   19 Cze 2009 21:43 Odpowiedzi: 35    Wyświetleń: 41860
  • Generator kluczy w Java Script, Delphi i Visual Basic.

    Wszystkie podstawowe operacje matematyczne i logiczne da się zrealizować w tych językach więc nie powinno być problem. Udałyby się chyba także 'zaawansowane' operacje matematyczne (jak trygonometria, logarytmy itp) na liczbach zmienno przecinkowych, ale nie dam głowy za to.

    Programowanie   16 Lis 2004 18:21 Odpowiedzi: 6    Wyświetleń: 3000
  • Jak zmienić częstotliwość generatora 555 napięciem 1-6V ???

    Coś namieszałeś pierwszy generator pracuje z f=2khz a drugi ma go kluczować z f>2khz? to nie jest logiczne. Jeśli chodzi Ci o kluczowanie generatora to jest do końcówka 4, jeśli chodzi Ci o przetwornik U/f to końcówka 5.

    Projektowanie Układów   20 Lis 2004 15:30 Odpowiedzi: 2    Wyświetleń: 1322
  • generator krótkiego impulsu

    potrzebne jest rozwiązanie układowe które generowało by krótki impuls okolo 2sek. po podaniu na wejscie ligicznej jedynki,jeszcze muszę dodać że ta logiczna jedynka na którą ma reagować układ będzie trwać długi czas a więc odpada tu układ 555 gdyż impuls wyzwalający musi być krótszy od generowanego.

    Projektowanie Układów   06 Lut 2005 19:14 Odpowiedzi: 1    Wyświetleń: 1914
  • AVT2492 Generator Funkcyjny

    co to jest wyjście TTL?? czy dobrze myśle że jest to wyjście które generuje sygnały zgodne dla układów TTL (nie wiem czy dobrze to napisałem ale chyba wiadomo o co chodzi) zgadza sie, ponizej wiecej o napieciach dla ukladow logicznych: A wyjścia modulujące to one tworzą sygnały już zmodulowane?? Jak tak to da się zmienić fale nośną?? nie wyjscia ale...

    Początkujący Elektronicy   10 Kwi 2005 12:31 Odpowiedzi: 13    Wyświetleń: 2835
  • Generator pojedynczego impulsu

    Podaje literature :Piotr Misiurewicz ,Marek Grzybek "Polprzewodnikowe uklady logiczne TTL " WNT W-wa 82;Jan Pienkos ,Janusz Turczynski "Uklady scalone TTL w systemach cyfrowych "WKL W-wa 80.

    Elementy Elektroniczne - zamienniki i identyfikacja   08 Kwi 2005 16:55 Odpowiedzi: 1    Wyświetleń: 1529
  • Generator i odbiornik kody drogą radiową (radiosterowanie)

    Witam. Posiada 2 radiotelefony cb i chciałbym zrobić sobie z nich radiopowiadamianie antywłamaniowe. Gotowe rozwiązania są trochę małej mocy i dużej ceny. Ogóleni plan jest taki żeby zbudować 2 układy: coder - załączany sygnałem logicznym (zwarcie jakiś styków) generujący jakiś unikalny kod (unimożliwiający przypadkowy-fałszywy alarm) - może być dtmf...

    Mikrokontrolery   20 Maj 2005 21:40 Odpowiedzi: 12    Wyświetleń: 2940
  • Generator kwarcowy 48MHz x2

    To widze że tkwimy w tym samym punkcie, ja na pierwszą potrzebe chce użyć generatora 80MHz (mam taki) i układu 74lvc08 (do konwersji na 3v3). Widziałem ze dallas ma scalone programowalne generatory, jeśli potrzebujesz dla siebie to można zamówić sample. Generalnie z układami logicznymi pracującymi przy takij częstotliwości jest problem. Widziałem w...

    Projektowanie Układów   18 Cze 2005 14:49 Odpowiedzi: 11    Wyświetleń: 4272
  • GCPA-01 czyli Generator Cyfrowych Przebiegów Arbitralnych

    To fakt wykorzystałem na maxa wolny czas na rozwinięcie projektu. No w moim wypadku nie jest tak łatwo. Klawiatura z koderem diodowym wyszła bardzo skomplikowana i do tego "jednopoziomowa" a każde dodanie kolejdego klawisza bardzo rozbudowywało i tak upakowane PCB (przestała sie mieścić w wydzielonym dla niej obszarze na panelu). Dodatkowo każdy klawisz...

    DIY Konstrukcje   05 Sty 2009 20:44 Odpowiedzi: 33    Wyświetleń: 15455
  • Generator napięcia schodkowego

    moze to byc np.: demultiplekser z dołączonymi na wyjściu rezystorami o odpowiednio dobranych wartościach.. i tak: •na wejście podajesz '1' •rezystory podlaczasz po jednym do każdego wyjścia w szeregu( w ten sposob na każdym z nich odkłada sie inne napiecie ) •wszystkie koncówki za rezystorami łączysz ze sobą w ten sposów sterując adresami...

    Początkujący Elektronicy   30 Wrz 2005 07:20 Odpowiedzi: 2    Wyświetleń: 2642
  • Generator 220V - jak zbudować?

    wszytsko by było dobrze gdybym zamist docinek doczekał sie wreszczie ucziwego wyjasnienia tematu.. rdzeń z drewna, perpetum mobile itd... zwyczajnie spotykam sie z cynizmem zamiast z logicznym wyjasnieniem i jakimkolwiek obiektywizmem. zastanawiajace jest to ze nikt nie probuje podpowiedziec w jaki sposob zbudowac taki transformator, z wyliczen (według...

    Elektro Maszyny i Urządzenia   09 Wrz 2006 01:58 Odpowiedzi: 18    Wyświetleń: 8506
  • generator zadanej liczby impulsów 1 : 255

    Znalazłem czas i w Orcad 9.1 Student zbudowałem taki układ. Liczbę impulsów do której zliczają liczniki 7493 ustala się łącząc odpowiednie wyjścia Q do wejścia bramki 7430.Na schemacie jest ustawione 110111 co odpowiada 55 dziesiętnie. Po wystąpieniu tej kombinacji,na wyjściu Y 7430 wystąpi 0 logiczne.Przez bramki U15,U16 zostanie podane 0 na wejście...

    Początkujący Elektronicy   26 Sty 2006 00:37 Odpowiedzi: 20    Wyświetleń: 4469
  • ATTINY13 jako generator 600kHz (BASCOM)

    Wielkie dzięki za odpowiedź! Chyba mniej więcej już wiem o co chodzi. Jeśli dobrze zrozumiałem to w swoim programie ustawiasz TCCRx = 26 , OCRx = 1, czyli po 26 impulsach zegarowych pojawia się pierwszy impuls dla OCR i generowane jest przerwanie, bo OCR=1. Zmiana stanu na wyjściu OCxn, czyli 2 zmiany na impuls. Przy zegarze 16MHz daje to ok 308kHz....

    Mikrokontrolery   07 Kwi 2006 21:57 Odpowiedzi: 9    Wyświetleń: 4358
  • generator na inwerterze schmitta- symulacja w pspice/LTspice

    1. zasilanie 5V dołączone jest nie tu, gdzie trzeba! Jeżeli podajesz 5V na wejście inwertera, to na wyjściu musi być logiczne zero! 2. rezystor R2 jest zbędny. 3. dla 7414 rezystor R1 jest za duży, taki może być dla 40106.

    Początkujący Elektronicy   21 Maj 2006 08:00 Odpowiedzi: 5    Wyświetleń: 3718
  • Układ z elektromagnesem (a la generator impulsowy)

    Elektromagnes mialby chodzic na jakis 9V-12V. Testowalem go na 3-6-9-12V. Im wyzsze naipiecie tym mocniej przyciaga,logiczne.Ale jak daje te 12 to sie cewka bardzo grzeje. Jak zwieralem bardzo szybko to czasami wszystko tylko drgalo, a jak zwolnilem to chodzilo normalnie(dol/gora). Rdzen z mym calym mocowaniem wazy na oko z 10-15g + jakies 5g trzeba...

    Projektowanie Układów   18 Cze 2006 17:04 Odpowiedzi: 19    Wyświetleń: 3180
  • Generator na max 038 - współpraca z max4420

    dzieki Wam wielkie za pomoc:) to rozwiazanie z maxem442 wyglada nawet logicznie i kto wie czy to nie mialo byc wlasnie cos takiego... a moznaby wrzucic tam zwykla operacyjke (tylko z duza graniczna czestotliwoscia? - mysle ze tez by dzialalo) coby sobie smd odpuscic bo malo dzie mozna u nas dostac a dwoch scalakow sciagac z netu to tak srednio sie oplaca:)...

    Elementy Elektroniczne - zamienniki i identyfikacja   23 Cze 2006 12:33 Odpowiedzi: 7    Wyświetleń: 1703
  • Generator dający krótki impuls (0,1-0,5 sek) co 1-2 min jak?

    Potrzebuję niedrogim kosztem wykonać coś takiego. Układzik ma sobie działać i co np. 1 minutę wytwarzać krótki impuls o czasie trwania 0,1 do 0,5 sekundy. Dobrze by było gdyby czas powtarzania impulsu był regulowany (np. 30 sek - 5 min). Jak prosto i niedrogo cos takiego zrobić ? Czy wystarczy jakiś jeden logiczny CMOS ?

    Projektowanie Układów   05 Lip 2006 19:54 Odpowiedzi: 13    Wyświetleń: 7699
  • jak zrobić taki układ generatorów?

    1. Typowa oporność przejścia dla 4053 to 65 Ω. 2. Po to jest wyrównanie poziomów napiecia stałego, żeby zminimalizować prąd płynacy przez klucze. 3. Najwyższa częstotliwość w naszym układzie to 2380 Hz i spokojnie mozna zwiekszyć rezystory nawet 4-5 krotnie. Co do sterowania : "0" logiczne na wejściu = generator, "1" = rezystor. Przełaczanie: generator...

    Projektowanie Układów   15 Lip 2006 11:37 Odpowiedzi: 41    Wyświetleń: 5221
  • Darmowa Energia, Free Energy-Generator Searla

    W twojej wypowiedzi jest dużo logicznej racji. To bardzo cenne spostrzeżenia. I bardzo się cieszę że podejmiesz się sprawdzenia "projektu wstępnego" Ja również chciałbym wiedzieć i mieć pewność gdzie leży prawda. Od czasu gdy wysłałem ci materiały trafiłem na kilka następnych, ale już nie tak bezpośrednich jak "projekt wstępny". Wynika z nich jednak,...

    Na pograniczu nauki   08 Lis 2012 00:08 Odpowiedzi: 524    Wyświetleń: 232907
  • Rejestrator - analizator stanów logicznych

    Poszukuję czegoś do rejestracji - dość uniwersalnego, z dość długim czasem, i sporą ilością próbkowania. Min 3 linie, różne sposoby wyzwalania, oraz łatwą późniejszą edycje. Nie mam przekonań z wszystkim do PC, ale na razie jedynie co przyciągnęło mą uwagę to [url=]TO Co ciekawsze posiada generator patternowy. Pracował ktoś na takim sprzęcie :?: Wszelkie...

    RTV Co kupić?   30 Lis 2006 08:26 Odpowiedzi: 3    Wyświetleń: 1440
  • Generator sterowany cyfrowo - projekt

    Układ jak narazie na papierze czeka na zatwierdzenie,jadnak jest w nim jeden feler,mam problem z układem formującym,który przekształci mi przebieg prostokątny na pseud sinusoidalny bo zapewne idelanego sinusa ni uda sie uzyskać,fakt ten dodatkowo utrudnia zmienna częstotliwosć co uniemożliwia zastosowanie sztywnego filtru.Może ma ktoś jakies pomysły...

    Początkujący Elektronicy   13 Gru 2006 01:35 Odpowiedzi: 10    Wyświetleń: 2514
  • generator 10 MHz i dzielnik na 1 Hz ... pomocy!!!

    Witam, Quraz dzięki Ci że mi wyjaśniłes bo nie wiedziałem jak dziala google:) ... HC i HCT mają zasilanie porównywalne z TTL jednak mam nieoparte wrażenie, iż do końca nie wiesz jak pożenić Google z logicznym myśleniem... :cry: Jaki to problem by wziąć LM78L05 i zasilać te HC (i generator kwarcowy) napięciem 5 V z prądem kilka mA ? W ten sposób, np....

    Początkujący Elektronicy   01 Sty 2007 18:24 Odpowiedzi: 30    Wyświetleń: 5112
  • Zadanie semestralne -- układy logiczne i sekwencyjne (MML)

    Witam Dotarłem do końcowej części zadania i utknąłem (na końcu arkusza 1). Prosił bym o pomoc. Zadanie w skrócie brzmi: Zrealizować układ, który zawiera licznik, liczący do 35 czyli do 23 w systemie hexalnym ,połączony z 7 segmentowym wyświetlaczem LED, który posiada dodatkowo przycisk zerujący. ( tu jeszcze był cały kawał zadania ale to nieistotne...

    Początkujący Elektronicy   17 Sty 2007 20:16 Odpowiedzi: 3    Wyświetleń: 2887
  • generator sygnałów cyfrowych przez RS232

    Witam wszystkich. W kwestii komunikacji nie jestem pionierem i w związku z tym mam pytanie. Generalnie chodzi o współpracę fizycznego generatora sygnałów cyfrowych (stanów logicznych) z komputerem poprzez port RS232. Chodzi o to, czy istnieje możliwość prostego rozwiązania problemu komunikacji? jeżeli tak to w jaki sposób. Ideałem byłoby posiadanie...

    DSP i Transmisja   24 Maj 2013 17:33 Odpowiedzi: 2    Wyświetleń: 3139
  • Analizator stanów logicznych na LPT

    Witam, Zbliża się czas wyboru tematów prac inżynierskich i spodobał mi się temat Analizator Stanów Logicznych ;). Szukam na necie jak coś takiego ugryźć, ale jakoś mi to nie idzie. W czym rzecz: W skrzyneczce jest sobie układ, a ze skrzyneczki wychadzą 4 diody, włączamy urządzenie i generator zapala diody "binarnie", czyli: 0000 == 0 000| == 1 00|0...

    Projektowanie Układów   12 Sty 2009 08:22 Odpowiedzi: 8    Wyświetleń: 4785
  • tworzenie generatora f. prostok. w oparciu o układ 74ls123

    Dla pewnych osób stworzenie tego typu rzeczy mozę się wydawać bardzo proste, lecz niestety w szkole mnie nie nauczyli robić takich rzeczy (a projekt ten jest do szkoły) i nie mam zielonego pojęcia jak robi się takie układy (wogóle jak się takie coś robi) będę bardzo wdzięczny za pomoc witam pisze tutaj z takim zapytaniem: potrzebuję do szkoły stworzyć...

    Elementy Elektroniczne - zamienniki i identyfikacja   03 Kwi 2007 21:19 Odpowiedzi: 1    Wyświetleń: 1116
  • łączenie jednostek arytmetyczno-logicznych

    Witam Na załączonym obrazku masz przykład połączenia 4 jednostek ALU w system 16-bitowy (zarówno w konfiguracji z przeniesieniami szeregowymi jak i równoległymi). W Twoim przypadku jak już napisałeś zastosujesz dwie jednostki ALU a sposób łączenia w system masz pokazany. Ps. 74182 jest to układ generatora przeniesień równoległych. Pozdrawiam

    Początkujący Elektronicy   16 Kwi 2007 19:49 Odpowiedzi: 1    Wyświetleń: 980
  • podwójny generator na dwóch kostkach ne555

    ehh, widze, ze sie forum troche powiekszylo. troche sie z tym pogubilem, a elektronika zajmuje sie hobbistycznie, takze troche dla mnei to zagmatwane jest. nie dalo by sie po prostu wyzwalac drugi generator z opoznieniem, albo podawac ten sam impuls z opoznieniem na drugi tyrystor? niestety te impulsy musza miec okreslone parametry a nie byc "przypadkowymi"...

    Projektowanie Układów   03 Maj 2007 20:17 Odpowiedzi: 21    Wyświetleń: 4075
  • Generator 1 Hz - trochę wiedzy teoretycznej

    Napięcie zasilania (Ucc) od 3 do 18 V ale należy pamiętać, że im niższe napięcie zasilania tym dłuższe czasy przełączania bramek i przerzutników CMOS co wpływa na obniżenie maksymalnych częstotliwości pracy. Zwykle próg przełączania bramek CMOS wypada gdzieś w okolicach 50% napięcia zasilania ale dla pewności przyjmuje się, że: - jako 0 logiczne traktuje...

    Początkujący Elektronicy   09 Maj 2007 21:17 Odpowiedzi: 8    Wyświetleń: 6038