REKLAMA

generator pojedynczego impulsu

Znaleziono około 237 wyników dla: generator pojedynczego impulsu
  • Generator pojedynczego impulsu.

    Witam. Potrzebuję układu, który będzie generować impuls o regulowanym czasie (od 1ms do 1s). Będzie on otwierać bramkę IGBT. Nadał by się przerzutnik monostabilnym na ne555 ? Myślałem o czymś takim, lecz nie udało mi się tego uruchomić. RA- 100K, C1- 1uF Mógłby ktoś wrzucić schemat takiego układu razem z przyciskiem i tranzystorem? Z góry dziękuję,...

    Początkujący Elektronicy   19 Gru 2014 20:35 Odpowiedzi: 28    Wyświetleń: 6273
  • REKLAMA
  • Zegar swietlny. Generator impulsow wyzwalany swiatlem.

    Poszukuje schematu a scislej osoby, ktora by mi taki uklad zaprojektowala, bo jest nietypowy. Zegar swietlny. Oto krotki opis dzialania. Urzadzenie ma mierzyc czas wykorzystujac stalosc predkosci swiatla. Mamy diode swiecaca, ktora zasilamy krotkim pikiem pradu. Potrzebny zatem generator pojedynczych impulsow, wyzwalany. Swiatlo z niej wyemitowane przelatuje...

    Projektowanie Układów   02 Sie 2014 01:29 Odpowiedzi: 0    Wyświetleń: 1023
  • Jak stworzyć sygnał impuls 5v?

    Witam, oczywiście że istnieje. Interesuje cię przełącznik monostabilny czy generator pojedynczego impulsu. W uproszczeniu dodanie kondensatora i rezystora do przycisku spowoduje generację impulsu przy wcisnięciu. Poczytaj o układzie 74121, on ma w środku taki przełącznik i może reagować na dowolne zbocza sygnału. Jeżeli to ma być do myszki, to rozważ...

    Projektowanie Układów   27 Paź 2023 11:30 Odpowiedzi: 7    Wyświetleń: 363
  • Generator pojedynczego impulsu

    Witam Mam sygnał z czujki foto odbiciowej o zdarzeniu. Sygnał jest o różnym czasie trwania. Układ, dla którego jest przeznaczony to licznik. Zdarzenie ma zerować licznik i tak się dzieje, ale na czas trwania impulsu zerowania zablokowany jest licznik i nie zlicza . Próbowałem zbudować generator jednego impulsu na 74ls00 i 74ls04 wyzwalany zboczem opadającym...

    Projektowanie Układów   05 Lut 2005 10:01 Odpowiedzi: 2    Wyświetleń: 3460
  • Generator pojedynczego impulsu

    Poszukuje wszelkich możliwych informacji na temat Generatora pojedynczego impulsu zrobionego na układzie 121 i 123.

    Elementy Elektroniczne - zamienniki i identyfikacja   08 Kwi 2005 16:55 Odpowiedzi: 1    Wyświetleń: 1529
  • REKLAMA
  • Generator impulsów zapłonowych

    urzadzenie to musi miec wspolpracujace ze soba wezelki: 1- generator pojedynczego impulsu -o nastawnej szerokosci- konkretnie musi to byc zasilacz o wydajnosci moze do 5A i napieciu moze -12V-15V i uklad elektroniczny sterujacy tranz. polowy mocy ,ktory powinien miec dobrej jakosci cewke WN -np samochodowa -rel. tania i wys. jakosc . uklad elektroniczny...

    Projektowanie Układów   24 Cze 2006 11:42 Odpowiedzi: 11    Wyświetleń: 4566
  • generator pojedynczego impulsu - jak?

    Ktoś ma pomysł, jak wykonać prosty generator pojedynczego impulsu prostokątnego, który: wersja 1: wypuści impuls przy zmianie stanu z L na H (a przy powrocie z H na L nie) wersja 2: wypuszczający impuls przy zmianie stanu na wejściu z H na L (a przy powrocie nie)? Impuls musi mieć takie parametry, by zaskoczyła dowolna bramka lub przerzutnik. Może dla...

    Projektowanie Układów   13 Lis 2007 11:01 Odpowiedzi: 12    Wyświetleń: 6024
  • Otwarty kolektor a generator pojedynczego impulsu

    Witam, mam ogromną prośbę dotyczącą dwóch układów. Biorąc pod uwagę układ komparatora okienkowego jak na schemacie poniżej: Jaki wpływ na zasadę jego działania ma zastosowanie otwartych kolektorów w użytych wzmacniaczach operacyjnych?? W jaki sposób można powiązać je z zasadą działania generatora pojedynczego impulsu załączonego poniżej?? Pozdrawiam,...

    Początkujący Elektronicy   12 Lut 2009 00:58 Odpowiedzi: 4    Wyświetleń: 3416
  • Generator pojedynczego impulsu

    Witam. Potrzebuje zrobić mały układ, który działałby na zasadzie takiej, że po podaniu napięcia(nie ważne jak długi czas) poda dalej jeden krótki impuls. Byłoby cos takiego: (+) | | Układ ---------- pojedyńczy krótki impuls (+) po podaniu napięcia do 3 zacisku(nie ważne jak długi czas) | | Zacisk 3 Mógłby ktoś dać schemacik lub wskazówki, jak coś takiego...

    Początkujący Naprawy   24 Sty 2021 14:40 Odpowiedzi: 4    Wyświetleń: 4527
  • Generator pojedynczego impulsu

    Proszę o podpowiedź - jak wykonać generator pojedynczego impulsu, który ma pracować w sposób następujący: Układ na wejście dostaje 1 logiczną, czyli idzie zbocze narastające i w tym momencie ma wygenerować pojedynczy impuls prostokątny o długości ok. 300ns, póżniej pomimo, że na wejściu nadal jest 1, to na wyjściu po wygenerowaniu impulsu ma być 0 i...

    Początkujący Naprawy   15 Lis 2009 04:58 Odpowiedzi: 8    Wyświetleń: 2811
  • REKLAMA
  • [Zlecę] wykonanie prostego generatora impulsu w Max+Plus

    Zlecę wykonianie projektu w Max+Plus generatora pojedynczego impulsu o czasie trwania 1us wyzwalany zboczem narastającym w oparciu o LPM_Counter

    Ogłoszenia Elektronika   27 Gru 2011 22:37 Odpowiedzi: 0    Wyświetleń: 657
  • ATtiny2313 - Generator pojedynczego impulsu

    Witam Mam mały problem potrzebuje generować pojedyncze impulsy 18ms I szukam czegoś w Assembelrze niestety nie pisałem niczego w innym języku. Mam pytanie jak odmierzyć taki czas. Przepraszam za lamerskie pytanie, ale nie mam za dużej wprawy w pisaniu.

    Mikrokontrolery AVR   22 Lip 2013 09:28 Odpowiedzi: 3    Wyświetleń: 1599
  • Generator pojedynczego impulsu wyzwalanego z opóźnieniem zboczem opadającym

    Natknąłem się swego czasu na układ na przerzutnikach Shmitta (4093), o dobieranym (RC) czasie trwania impulsu i niezależnie dobieranym czasie opóźnienia od wystąpienia zbocza opadającego na wejściu do wygenerowania tego impulsu. Proszę o pomoc w odnalezieniu (próbowałem na różne sposoby) lub nowy schemacik. Wykonuję układ działający jak na załączonych...

    Początkujący Elektronicy   03 Lut 2016 17:41 Odpowiedzi: 3    Wyświetleń: 1395
  • Generator pojedynczego impulsu po podaniu zasilania

    Witajcie, Potrzebuję waszej pomocy. Rodzice poprosili mnie o przeróbkę urządzenia które użytkują. Przeróbka ma polegać na tym, żeby po załączeniu (podaniu napięcia), po pewnym opóźnieniu (3-4s) podany został impuls przekaźnikiem (zwarcie przekaźnika na ok 0,5s). Przeróbka ta ma za zadanie ułatwić korzystanie ze wzmacniacza VoiceKraft VK 556. Na chwilę...

    Początkujący Elektronicy   15 Sty 2022 00:21 Odpowiedzi: 7    Wyświetleń: 963
  • Uproszczenie generatora pojedynczego impulsu do jednego przekaźnika

    Witam wszystkich bardzo serdecznie. Jest to generator jednego impulsu po załączeniu zasilania. Chciałbym zapytać, czy da się go uprościć tylko do jednego (wykonawczego) przekaźnika? Pozdrawiam

    Początkujący Elektronicy   30 Lis 2023 00:59 Odpowiedzi: 59    Wyświetleń: 996
  • Sterowanie impulsem magnetycznym w wahadle kinetycznym

    Kiedyś w miesięczniku "Delta" był opis wahadła z magnesem, z zasilaniem chyba z jednej baterii 1.5V, układ zawierał jeden tranzystor germanowy małej mocy. I to się miało wahać przez wiele miesięcy, prąd płynął tylko impulsowo, układ prościutki: dwie cewki, tranzystor, bateria. Nie było wtedy magnesów neodymowych, użyto dużo słabszego ferrytowego, ale...

    Projektowanie Układów   17 Paź 2018 18:39 Odpowiedzi: 72    Wyświetleń: 5412
  • układ generujacy impulsy

    może to nie jest najlepszy pomysł ale może coś takiego multiwibrator np na 555 generujący na stałe prostokąt, drugi układ ( w zasadzie tez może być 555) załączalby np jedynkę lgiczną przez czas ok 4-5 sekund oba sygnały na bramkę typu and. chyba , że generator pojedynczego impulsu z dzielnikiem? pozdrowienia

    Początkujący Serwisanci   25 Paź 2005 23:01 Odpowiedzi: 1    Wyświetleń: 834
  • generator przebiegów prostokątnych przy użyciu UCY74123

    Będzie generował.Czas trwania pojedynczego wygenerowanego impulsu t1=0.28*R1*C1; t2=0.28*R2*C2; T=t1+t2; f=1/T;

    Początkujący Elektronicy   06 Gru 2006 15:03 Odpowiedzi: 6    Wyświetleń: 6098
  • potrzebny generator pojedyńczych imulsów 0-5V , 1-200ms

    Z racji że mam bardzo ograniczoną możliwość kupić cokolwiek chyba się zdecyduję na bardzo prosty analogowy układzik. Znalazłem na alle... kit Generator pojedynczego impulsu AVT5795 Prosiłbym tylko o pomoc w doborze elementów, żeby to działało od ok 1ms, ponieważ nie mam oscyloskopu (i chyba już nie będę miał) i nie mam tego czym pomierzyć (chyba, że...

    Projektowanie Układów   29 Paź 2021 09:13 Odpowiedzi: 10    Wyświetleń: 315
  • Generator impulsów synchronizacji koloru i przełącznik wideo dla chińskiego DVR

    Jest to bardzo specyficzna konstrukcja i prawie na pewno nikomu oprócz mnie nie przyda się w tej konkretnej postaci jaką tu opisuję, ale zawsze pozostają walory edukacyjne, nauczenie się jak coś zrobić albo jak czegoś w żadnym wypadku nie robić :D Urządzenie jest protezą dzięki której omijam niektóre wady chińskiego rejestratora wideo, model CVXR-I273...

    DIY Konstrukcje   06 Maj 2013 00:41 Odpowiedzi: 9    Wyświetleń: 17640
  • Podłączenie i obliczanie czasu impulsu dla generatora monostabilnego NE7555

    Witam Projektując pewien układ natrafiłem na konieczność zastosowania generatora monostabilnego. Jednak NE555 ciągnie za dużo prądu. Szukałem w internecie zamiennika który mógłby pełnić tę funkcję. Nie zależy mi na jakimś rokordowo niskim poborze prądu, więc myślę że w tej roli niadalby się NE7555. Niestety jednak nie wiem czy ma być on tak samo podłączony...

    Projektowanie Układów   28 Kwi 2021 12:33 Odpowiedzi: 6    Wyświetleń: 327
  • Projekt układu monostabilnego generującego pojedynczy im...

    Tu masz produkowane wartości rezystorów, Tu sobie poczytaj ogólnie o rezystorach: Uwaga1: powyższe wymaga posiadania zainstalowanego Adobe Readera Uwaga2: litera W w tekście przy zapisie wartośći rezystancji oznacza Ω (Ω ma ten sam kod co W tylko występuje w innym kroju pisma, tak więc 33 kWm oznacza źle wydrukowane 33 kΩ) wartość z tabeli...

    Początkujący Elektronicy   03 Maj 2009 18:28 Odpowiedzi: 4    Wyświetleń: 1239
  • Transformacja energii wiatru na elektryczność: projekt drzewka z liśćmi-generatorkami

    Czemu nie sprawdzisz, jak działa zespół takich "liści", bez żadnych diód? Przetwornica przy tak małych generatorach to raczej średni pomysł. Skoro generator jest tak małej, mocy, po co ją tracić na podwyższenie napięcia i do tego dodawać dodatkowy element, który może ulec uszkodzeniu i kosztuje, w każdym mini generatorze? Podwyższenie napięcia w przetwornicy...

    Na pograniczu nauki   22 Paź 2023 23:10 Odpowiedzi: 4    Wyświetleń: 288
  • Generator częstotliwości - Assembler c51

    Witam! Zmieniła się koncepcja. Teraz program ma być bardziej skomplikowany. (wymysł profesora). Teraz generator ma pracować tak. Gdy na P3.0 jest zero to: na P0.0 generowany ma być przebieg prostokątny powtarzający się. Gdy na P3.0 jest jeden to: na P0.0 jest generowany sygnał o innej częstotliwości, powtarzany a na P0.1 pojedynczy impuls. start:...

    Mikrokontrolery   25 Lut 2007 02:36 Odpowiedzi: 10    Wyświetleń: 4061
  • Generatory arbitralne firmy Rigol, czy warto?

    ....Przykładowo jeśli do sterowania serwa modelarskiego potrzebujemy impulsów w granicach od 1 do 2ms i powtarzać je co 20ms to częstotliwość jest 50Hz. A 2ms to będzie 10% z 20ms. To jeśli karta katalogowa "profesjonalnego" generatora mówi że wypełnienie jest regulowane w zakresie od 20% do 80% to znaczy że nie będę w stanie sprawdzić zwykłego modelarskiego...

    Warsztat co kupić?   25 Lis 2010 20:18 Odpowiedzi: 18    Wyświetleń: 5138
  • Generator kwarcowy Tele Quarz - Opis wyprowadzeń

    Urządzenia telekomunikacyjne wymagają pracy synchronicznej, inaczej na skutek różnic częstotliwości zegarów pokładowych dochodzi do poślizgów i utraty pojedynczych bitów. Gdy urządzenia przenosiły tylko ramki z kanałami rozmównymi, taka pojedyncza utrata nie była nawet słyszalna. Ale kiedy zaczęła się era prawdziwej transmisji danych, a zwłaszcza IP,...

    Radiotechnika Serwis   06 Wrz 2016 21:48 Odpowiedzi: 9    Wyświetleń: 2610
  • Po zasileniu pojedynczy impuls.

    Myślałem o tym, ale ja potrzebuję tylko 1 krótki impuls 0,5 s. Na 555 można zrobić generator, chyba, że po jakiejś kombinacji będzie 1 impuls. Znalazłem coś na dwóch bramkach 7404 ale na TTLach nie chcę robić, wolałbym CMOSy. czemu nei zrobic tego na 555?:)tez moze pracowac jako generator monostabilny, tylko trzeba skombinowac jakis uklad ktory wyzwoli...

    Projektowanie Układów   03 Mar 2004 20:11 Odpowiedzi: 10    Wyświetleń: 3196
  • podwójny generator na dwóch kostkach ne555

    zrobilem troszek inaczej, zastosowalem dwa generatory pojedynczych przebiegow wyzwalane zboczem opadajacym. pierwszy przebieg trwa ok 200us i jest podawany na drugi generator. w tym drugim impuls trwa kolo 20 us. czyli na wwyjsciu mam przebieg opozniony o 200us i trwajacy 20us. podaje ponizej schemat i wykresy. na pierwszym wykresie jestw idoczny sygnal...

    Projektowanie Układów   03 Maj 2007 20:17 Odpowiedzi: 21    Wyświetleń: 4075
  • Prosze o pomoc w zbudowaniu generatora impulsów

    Czas ładowania kondensatora przez opornik jest proporcjonalny do iloczynu R*C, ale nie pamiętam teraz dokładnego wzoru na tzw. stałą czasową T i czas ustalenia 5*T, gdzie występuje logarytm naturalny (może inni forumowicze z blisko dostępną biblioteką przytoczą te wzory lub poszukaj coś w rodzaju "ładowanie obwodów RC") Jest jednak jeden "knif". W praktyce...

    Projektowanie Układów   15 Sie 2007 09:48 Odpowiedzi: 7    Wyświetleń: 1911
  • NE555 monostabilny generator krótkiego impulsu

    Witam Do przerzutnika zbudowanego na 555, do wejścia ( z pominięciem rezystora podciągającego na wejściu –nóżka 2 ) możesz podłączyć taki układ, jak na rysunku. Opóźnienie w celu eliminacji drgań styków wynosi 100ns (R6, C2 ), natomiast impuls wyzwalający może być ustawiany w szerokim zakresie od pojedynczych ns do ok. 100ms (R3, C1 ). Z wartościami,...

    Początkujący Elektronicy   24 Maj 2008 08:38 Odpowiedzi: 8    Wyświetleń: 11137
  • Generator impulsów na przerzutniki D i RC.

    Małe sprostowanie .. układ ma generować impuls ( czy impulsy ) to bardzo istotne , bo schemat który narysowałeś mógłby generować ale pojedynczy impuls, o czasie zależnym od stałej czasowej RC

    Początkujący Elektronicy   08 Kwi 2009 20:58 Odpowiedzi: 9    Wyświetleń: 4295
  • Starter do układu impuls po czasie lub generator prost.gasn.

    Mam problem z układem, potrzebuję zastartować układ z opóźnieniem. Po podpięciu zasilania 5V do głównej płytki, potrzebuje automatycznie zainicjować start z przycisku - pojedynczym kliknięciem. Układ powinien zastartować po około max 1s impulsem nawet krótkiej długości. Powinno działać tak po pojawieniu się 5V układ powinien poczekać 1s następnie wygenerować...

    Początkujący Naprawy   26 Sty 2011 07:33 Odpowiedzi: 2    Wyświetleń: 1726
  • Generator astabilny, wypełnienie 5% - na czym?

    Można na jednym NE555 zbudować generator przebiegu o okresie 20s z dowolnym wypełnieniem i cyklicznie wyzwalać zboczem drugi NE555 generujący pojedynczy impuls 1s. Przy takim rozwiązaniu przez dobór elementów RC można łatwo regulować zarówno okres powtarzania jaki i długość impulsu, jednak trzeba będzie użyć bardzo dużych wartości R i C, co może sprawić...

    Projektowanie Układów   24 Sie 2012 06:41 Odpowiedzi: 2    Wyświetleń: 1989
  • Specyficzny generator pojedyńczych impulsów

    Witam, Może ktoś mi pomoże lub naprowadzi na pomysł, jak zbudować najprostszy układ, który będzie sterowany zwykłym włącznikiem pojedynczym. Na wyjściu układu w zależności od położenia włącznika ma pojawić napięcie +12V lub wyjście ma być zwarte do masy - czas trwania obu stanów, to ok. 3s. Po wygenerowaniu impulsu, wyjście układu ma przechodzić w stan...

    Początkujący Elektronicy   12 Gru 2012 13:18 Odpowiedzi: 2    Wyświetleń: 819
  • Generator krótkich impulsów mocy do pomiarów elektroluminescencji

    Super, tylko że kondensator trzeba by czymś kluczować, prawda? I tutaj znowu zaczynają się schody No w takim przypadku powinny wystarczyć tranzystory o niższej częstotliwości, ale za to mocniejsze, no chyba że ten generator musi pracować ciągle z częstotliwością kilku GHz. Jeśli mowa o pojedynczych impulsach z odpowiednim czasem przerwy po między lawinami,...

    DIY Konstrukcje   17 Cze 2013 17:51 Odpowiedzi: 20    Wyświetleń: 22473
  • 27C210 - Na czym zrobić generator impulsu programującego?

    A ja pisałem: przerzutnik monostabilny, albo procesor (do jednego impulsu to raczej przerzutnik, ale jeśli masz generować komplet sygnałów, to przerzutników byłoby sporo, a procesor wystarczy jeden). Jeśli chodzi o przerzutnik, to są takie układy, jak NE555, 74LS(albo HCT)121/122/123/221/423, CD4047, CD4098, CD4528, CD4538... Z tego NE555 na pewno jest...

    Projektowanie Układów   10 Lut 2015 19:01 Odpowiedzi: 13    Wyświetleń: 1650
  • Generator monostabilny na NE555 dziwne zachowanie

    Witam Złożyłem generator monostabilny na NE555 według schematu: Niby działa po podaniu masy na wyzwolenie generuje na wyjściu pojedynczy impuls. Problemem jest to gdy podłącze zasilanie do układu to na wyjściu bez podania impulsu masy na wyzwolenie i tak na początku na wyjściu generuje impuls. Układ sprawdziłem i nie widze w płytce błędów, czy to normalne...

    Początkujący Elektronicy   02 Wrz 2015 21:10 Odpowiedzi: 1    Wyświetleń: 2106
  • Attiny 13 - brak zmiany długości przerwy w generatorze impulsów

    powrót do Attiny 13. Korzystam z przerwania od Timer0. Okres impulsów ok 27 us, pojedynczy impuls 1,2 us, funkcja micros wyłączona, w zasadzie wszystko pod kontrolą i realizuje się zgodnie z oczekiwaniem. Zamykam temat.

    Arduino   12 Maj 2020 23:21 Odpowiedzi: 27    Wyświetleń: 1002
  • Jak zrobić generator ciągu impulsów

    Witam Wszystkich! Potrzebuję zrobić impulsator jak na załączonym foto. W technice cyfrowej to by wyszło dość duże na przynajmniej kilku scalakach. Pomyślałem, że może zrobić to na układzie programowalnym, ale moja wiedza w tym temacie jest kiepska, a choć jestem elektronikiem - to na starość nie zamierzam się uczyć pisania programów. Zasada jest taka,...

    Mikrokontrolery AVR   07 Lis 2021 16:55 Odpowiedzi: 38    Wyświetleń: 2358
  • Lawinowy generator impulsowy o bardzo szybkich zboczach

    Bo kiedyś mieliśmy kłębek linki nie całe 100m, puszczaliśmy przez to zasilanie komputera, oglądając prąd oscyloskopem na szeregowym oporniku i wstawianie do środka stalowej puszki powodowało widoczne zmiany Oczywiście to zupełnie inne częstotliwości. Zaobserwowałeś inne zjawisko. Z przewodu zrobiłeś cewkę a puszka zmieniła jej indukcyjność. Tylko takiej...

    DIY Konstrukcje   01 Lut 2023 13:11 Odpowiedzi: 58    Wyświetleń: 6186
  • Moduł generatora przebiegów PWM WSFG-06 1-1000Hz ; funkcje i parametry

    Tematem dzisiejszym jest moduł generatora do wbudowania w większą całość. Co prawda w nazwie na aukcji występuje skrót PWM, ale tak ubogo nie jest. Nie jest, ponieważ wspomniany PWM to jedna z kilku opcji generowanych przebiegów. Opakowanie i sam moduł: Do dyspozycji mamy kilka przebiegów — oczywiście PWM jest tu najważniejszy: Możemy również wywołać...

    Artykuły   05 Lut 2024 09:37 Odpowiedzi: 5    Wyświetleń: 969
  • generator 1 hz + sterowanie zegara wtórnego

    Witam, potrzebuje wysterowac silnik krokowy zegara wtornego. Zasilane silnika : 24 V , impulsy co minute ze zmienna polaryzacją. Chcialbym wykorzystac ten schemat : dodatkowo na wysjciu ukladu ze schematu powyzej chcialem dolozyc jeszcze jeden dzielnik na 4013 (2ga polowa ukaldu) aby uzyskac jeden cykl na 2 minuty. Pozostaje kwestia wysterowania silnika....

    Początkujący Elektronicy   28 Maj 2007 15:18 Odpowiedzi: 2    Wyświetleń: 1857
  • Układ czasowy. Jak to zrobić, żeby był tylko jeden impuls?

    Witam Zamiast stosowania kilku układów, proponowałbym jeden w technologii CMOS. Jest to układ 4541, na którym, jeżeli impuls wejściowy jest krótszy niż 1µs, impuls wyjściowy równa się wyliczonym elementom (nie wpływa na przedłużenie trwania impulsu). Może pracować w trybie bistabilnym (pojedynczy impuls) i astabilnym (generator fali prostokątnej)....

    Początkujący Elektronicy   18 Sty 2008 20:03 Odpowiedzi: 5    Wyświetleń: 2667
  • generator impulsów losowych

    Witam. Zamiast złącza B-E (T1) spróbowałbym użyć diody Zenera z zakresu 3,3V÷4,7V (eksperymentując z kilkoma egzemplarzami o różnych napięciach - zgodnie zresztą z sugestiami autora). Pozdrawiam. ps. LM358 nadaje się - moim zdaniem - do tego układu (pojedyncze napięcie zasilania 5V).

    Projektowanie Układów   10 Cze 2009 18:01 Odpowiedzi: 5    Wyświetleń: 2453
  • Nowy układ z serii TimerBlox - sterowany napięciem generator PWM.

    Linear Technology wypuszcza właśnie na rynek nowy układ z rodziny TimerBlox. LTC6992 to krzemowy oscylator będący generatorem sygnału o zmiennym wypełnieniu (PWM). Aby ułatwić jego sterowanie został on zaprojektowany do sterowania napięciem. Do pracy układ potrzebuje jedynie 3 zewnętrznych oporników, jak pokazane na poniższym obrazku. Układ zasilany...

    Newsy   13 Mar 2012 22:37 Odpowiedzi: 5    Wyświetleń: 4881
  • generator impulsów silnika krokowego

    Witam Wszystkich. Mam zagwozdkę. Potrzebuje zrobić generator do silnika krokowego który przy naciśnięciu guzika, niezależnie od czasu trwania tego naciśnięcia, będzie się obracał dokładnie o 1 obrót. W sumie nie mam żadnego pomysłu jak by było najlepiej go stworzyć czy na NE555? Czy może posłużyć się gotowym układem. Nie wiem jak by wymusić ten pojedynczy...

    Początkujący Naprawy   30 Paź 2014 15:23 Odpowiedzi: 6    Wyświetleń: 1638
  • Jak wygenerować pojedynczy impuls?

    Poszukaj na forum pod hasłem 'generator monostabilny na ne555' .

    Projektowanie Układów   23 Sty 2015 00:23 Odpowiedzi: 5    Wyświetleń: 2007
  • Generator wysokiego napięcia na cewce zapłonowej - 50kV - budowa, opis działania

    Witam. Słowem wstępu: Chciałbym zaprezentować mój generator wysokiego napięcia na bazie cewki zapłonowej od Fiata. Układ ten wyróżnia się tym od innych, że generuje bardzo wysokie napięcia, rzędu 50 tysięcy volt. Wyładowania są głośne i spektakularne. Dlatego też wszystkim początkującym, niepełnoletnim, roztargnionym oraz pracującym w pobliżu sprzętu...

    DIY Konstrukcje   05 Maj 2023 22:16 Odpowiedzi: 10    Wyświetleń: 18486
  • Jak zbudować generator elektrostatyczny van derr Grafa, ale bez części ruchomych

    To co chcesz zbudować, to na pewno nie będzie generator Grafa. Możliwych do budowy układów jest wiele... Sprecyzuj dokładne wymagania: do czego potrzebujesz generatora, co chcesz z nim robić, jakie napięcie i moc są Ci potrzebne itd. Czy potrzebujesz napięcia stałego, przemiennego, czy pojedynczych impulsów energii. Oraz jakim dysponujesz budżetem i...

    Początkujący Elektronicy   13 Lis 2015 13:10 Odpowiedzi: 5    Wyświetleń: 1587
  • cczęstotliwość generatora wysokiego napięcia

    Było od razu jasno określić, że chodzio pastucha. Dyskusja potoczyłaby się inaczej. ;) ZVS do tej roli jest kompletnie nieużyteczny, praca w trybie ciągłym to gwarant ryzyka obrażeń... Tu potrzeba układu dostarczającego pojedynczych impulsów przedzielonych sporym odstępem czasu, o mocy chwilowej na tyle dużej by spowodować nieprzyjemny szok, ale na...

    Początkujący Elektronicy   25 Mar 2016 09:43 Odpowiedzi: 12    Wyświetleń: 4428
  • Generator prostokątny strojony dużej stabilności

    DDS jako generator przebiegów prostokątnych będzie słabą stabilność krótkoczasową, bo tak naprawdę część impulsów będzie miała długość N a część N+1 w długim okresie czasu średnia zaczyna sie zgadzać, to jak długim zależy od stopnia podziału. Czy może nie ma to znaczenia? Jarku, co masz na myśli? (btw. dzięki za odpowiedź, miło że pomagasz!) Czy chodzi...

    Początkujący Elektronicy   21 Lis 2017 13:52 Odpowiedzi: 27    Wyświetleń: 1758
  • Budowa generatora Cockcrofta-Waltona 60kV

    Hmmm Rzekł bym że czas rozładowania kondensatorów się ZWIĘKSZY po dodaniu cewki. W zasadzie impuls prądowy powinien się "wypłaszczyć" Zasadniczo, jeden zwój grubszego drutu na fi 5cm też by wystarczył. Jeszcze raz zaznaczam że cewki są "opcjonalne". Konkretne wartości będzie znacznie łatwiej wyznaczyć doświadczalnie. Chodzi tu tylko o "przycięcie" piku...

    Początkujący Elektronicy   20 Maj 2018 17:17 Odpowiedzi: 63    Wyświetleń: 4035
  • Uszkodzenie termistora w pętli sprzężenia generatora PO-20 - jak to możliwe?

    Tylko że wtedy chyba byłby to proces ciągły Raczej widziałbym te przeciążenie jako impuls czy serię impulsów prądowych. Po prostu z punktu widzenia potencjalnych przebiegów o stromych zboczach w obwodzie (których przyczyną była awaria T2), jest tu taka niskoimpedancyna ścieżka: R112=10Ω, T5, C109=1000µF, termistor, R114=0-120Ω, R102=360Ω,...

    Elementy Elektroniczne - zamienniki i identyfikacja   15 Sie 2019 22:45 Odpowiedzi: 37    Wyświetleń: 1347
  • Jak zbudować prosty generator do modułu MC14499 do zliczania impulsów?

    To nie jest licznik, licznikiem jest 4553 licznik trzy-dekadowy wymaga jeszcze dekodera do sterowania wyświetlaczami. Są też pojedyncze dekady liczące, z dekoderem 4026 albo bez 4029.

    Początkujący Elektronicy   19 Lut 2021 18:45 Odpowiedzi: 9    Wyświetleń: 387
  • Wzmacniacz impulsów wysokiego napięcia projekt (impulsy zarówno + jak i -)

    AVT1961 Steruje tylko MOSFET-em low-side więc w żaden sposób nie będzie na + i - , dodatkowo żaden stopień wyjściowy który nie jest push-pull nie będzie szybki. Na przykład wychodzi impuls z procesora/generato impulsów rzędu mV i następnie jest wzmacniany do 100V lub więcej. Z procesora czy generatora impulsów będą pojedyncze wolty, jeśli masz źródło...

    Projektowanie Układów   06 Gru 2022 08:39 Odpowiedzi: 29    Wyświetleń: 555
  • Jeep zj 4.0 - Wybór taniego generatora impulsów do czyszczenia wtryskiwacza

    Ten nie bardzo, to generator pojedynczego impulsu. Raczej coś takiego jak generator impulsów. I do tego moduł wykonawczy na tranzystorze Mosfet i diodzie zabezpieczającej przed przepięciami.

    Samochody Elektryka i elektronika   23 Mar 2022 13:26 Odpowiedzi: 8    Wyświetleń: 279
  • Kwarcowe rezonatory i generatory zegarowe - kompendium

    Wiem że to też fala radiowa. Natomiast różnica jest zasadnicza. W jednym przypadku otrzymujemy po prostu sygnał, a w drugim o niego pytamy, więc tym samym zwiększamy ruch sieciowy. Takich rzeczy chyba nie musimy tutaj tłumaczyć. Nie bawiłem się szczegółowo DCF i pomiarami dokładności, ale odbiorniki tego sygnału zapewne bardziej obciążą procesor niż...

    Poradniki Elektronika   16 Paź 2022 18:05 Odpowiedzi: 45    Wyświetleń: 4320
  • Generator przebiegu piłokształtnego , problem .

    Witam, mam takie pytanko. Czy jest możliwe zbudowanie generatora, który będzie dawał pojedynczy impuls w kształcie piły o czasie trwania ok 2.5ms Proszę o szybką odpowiedź.

    Projektowanie Układów   29 Lip 2006 19:41 Odpowiedzi: 8    Wyświetleń: 3807
  • generator marxa - dobór elementów

    Między MKP i MKT (polskie oznaczenie MKSE) występuje znacząca różnica w sposobie połączenia wyprowadzeń z okładkami kondensatora. W przypadku MKT miejsce styku jest punktowe, natomiast w MKP z wyprowadzeniem sprasowany jest cały brzeg okładki. Przy rozładowaniu impulsowym w przeciążonym MKT następuje przepalenie miejsca styku drutu i okładek, przez...

    Początkujący Elektronicy   18 Cze 2009 18:17 Odpowiedzi: 24    Wyświetleń: 13576
  • Synchronizacja syganłu zegarowego(16Mhz) z impulsem- pomysł?

    Witam, mam następujący problem- muszę zsynchronizować w fazie sygnał zegara (clk) z pojedynczą zmianą stanu sygnału zewnętrznego. Chodzi o takie opóźnienie fazowe cyklu zegarowego, aby następny takt zaczynał się równo ze zmianą sygnału zewnętrznego. Rezonator kwarcowy raczej nie da rady, wiec myślałem o zbudowaniu generatora z pll lub dds. :idea: Niestety...

    Mikrokontrolery   16 Cze 2008 10:30 Odpowiedzi: 7    Wyświetleń: 1038
  • Skrócenie czasu pojedynczego sygnału syreny

    ... jestem poczatkujacyw tym temacie Skoro nie potrafisz (lub nie chce Ci się) znaleźć w Googlach hasła [Generator monostabilny], to tym bardziej nie będziesz potrafił wykonać tego układu. Poszukaj więc instrukcji Twojej centralki i zmień długość impulsu sterowania zamkiem. Większość central daje wybór 3s/0,5s.

    Samochody Zabezpieczenia   16 Mar 2012 08:00 Odpowiedzi: 15    Wyświetleń: 1773
  • Generator hho - wodór z wody dodany do paliwa - "Gas Br

    Z punktu widzenia wydajności elektrolizy wypadałoby połączyć kilka cel szeregowo i zasilać z przetwornicy: szeregowo z celami dławik, jeden koniec tego do masy, do drugiego dioda od masy i przez jakiś tranzystor zasilanie impulsami - wtedy między impulsami dławik "ciągnie" prąd przez diodę. Stosując pojedynczą celę używamy napięcia 1.5V do elektrolizy,...

    Na pograniczu nauki   30 Paź 2012 08:01 Odpowiedzi: 1889    Wyświetleń: 591347
  • Moduł generatora VGA - Verilog

    Witam ponownie :) Poradziłem sobie już z timingami, mam "goły" generator, bez pamięci , mogę np wyświetlić jakiś kolor. Teraz chciałbym dodać pamięć. Na początku nie będę wykorzystywał zewnętrznej pamięci SRAM jako buforu. Użyje rejestrów. Trochę pokombinowałem i efekt jest taki : [syntax=verilog] module vga_controller( input clk, input reset, input...

    Programowalne układy logiczne   07 Lut 2012 11:48 Odpowiedzi: 14    Wyświetleń: 4725
  • Generator opcjonalny Megawave Atmega

    Schemat 'taki se', za bardzo rozbudowany, mogłeś jakoś podzielić na poszczególne bloki funkcjonalne, do tego przydałoby się białe tło-lepiej wszystko widać. Rozumiem że krytykujemy tutaj konstrukcje, ale żeby się czepiać kolorów schematu :) Schemat rozbudowany ? Noto jak to prościej można było rozwiązać ? Użyć jednej magistrail I2C? gwarantuje że nie...

    DIY Konstrukcje   08 Wrz 2012 12:24 Odpowiedzi: 3    Wyświetleń: 11604
  • Jak ożywić generatory na IC2A, IC2B w AVT 2285 w Proteusie?

    Witam ! Wpadła mi w ręce dokumentacja radiosterowania AVT2285. Zanim zdecyduję się na budowę postanowiłem sprawdzić koder za pomocą Proteusa. IC1A , IC3 działają poprawnie, ale w żaden sposób nie udaje mi się ożywić generatorów na IC2A, IC 2B. Próbowałem już podmiany 4098 na inne i lipa. Pojedynczy układ pobudzany impulsem dodatnim pozwala na wysterowanie...

    Robotyka Modelarstwo i CNC   07 Lis 2019 20:24 Odpowiedzi: 3    Wyświetleń: 249
  • Poszukiwanie najprostszego schematu generatora wysokiego napięcia

    Może być coś takiego. Jako transformator może być użyta cewka WN z samochodu. Dobrze by było podać do czego to ma służyć, Czy ma pracować z pojedynczymi impulsami czy też wytwarzać WN z określoną częstotliwością. Miło by było podać też wysokość tego napięcia, od tego zależy jaką przekładnię będzie miał użyty transformator. Bez niego raczej się nie...

    Początkujący Elektronicy   22 Lut 2021 19:42 Odpowiedzi: 5    Wyświetleń: 960
  • Projektowanie alarmu rowerowego na pilota: Wybór elementu do załączania obwodu jednym impulsem

    Przydałyby się szczegóły. Można to zrobić na przykład za pomocą maleńkiego µC za dwa złote, z banalnym programem. Do tego odpowiedni tranzystor w charakterze klucza. Szczegółowo mówiąc chodziło mi o to by z odbiornika RX2 wychodził pojedynczy sygnał który załączy zasilanie w generatorze monostabilnym na ne 555

    Początkujący Elektronicy   30 Kwi 2021 10:57 Odpowiedzi: 23    Wyświetleń: 603
  • Troszke inny licznik rowerowy - jak zrobić.

    Witam. Wskaźnikiem może być voltomierz. Czujnik jak w tradycyjnym rowerowym liczniku, następnie generator pojedynczego krótkiego impulsu, tranzystor sterowany tymi impulsami i miernik napięcia jako wskaźnik.

    Projektowanie Układów   26 Sie 2007 20:43 Odpowiedzi: 3    Wyświetleń: 3246
  • Układ astabilny na ne555. Czy ten układ ma prawo działać?

    To znaczy. o co ci z mailem chodzi? Skrzynkę sprawdziłem, pojawiła się odpowiedź na twoje pytanie.. Takie rzeczy to pisz na PM. Może to Cię trochę oświeci. 555 posiada trzy tryby działania: Monostabilny: w tym trybie, 555 funkcjonuje jako wyzwalany generator pojedynczego impulsu. Zastosowania tego trybu to np. układy czasowe, wykrywacze brakującego...

    Optoelektronika   02 Sty 2011 12:46 Odpowiedzi: 10    Wyświetleń: 7093
  • Chwilowe opóźnione włączenie przekaźnika.

    Cześć. Albo nie doczytałem albo nie napisałeś, co chcesz osiągnąć? Chcesz żeby po włączeniu zasilania przekaźnik włączył się z opóźnieniem, a po odłączeniu zasilania rozłączył się też po kilku sekundach? Jeśli tak, to układ z pierwszego linku jest dobry, tylko rezystor rozładowujący musi mieć większą wartość. Dobrałbym doświadczalnie. Jednocześnie trzeba...

    Początkujący Elektronicy   29 Sty 2018 01:28 Odpowiedzi: 12    Wyświetleń: 2400
  • NE 555. Brak utrzymania stałego czasu.

    Cześć Układ który przedstawiłeś jest typowym układem generatora monostabilnego Czas trwania impulsu można obliczyć według wzoru: tWY = 1,1 R*C [s] w gałęzi pin 6i7 Czyli u ciebie ok od 0,001 do 11s Różnica w twoich pomiarów na pewno wynika z opóźnienia na przekaźniku Minimalny czas trwania impulsów generowanych przez układ wynosi ok. 5 μs, a maksymalny...

    Początkujący Elektronicy   13 Lut 2016 19:47 Odpowiedzi: 25    Wyświetleń: 1611
  • Zasada działania przekaźnika czasowego

    Albo najzwyklejszy timer NE555 w trybie monostabilnym. "monostabilny: 555 funkcjonuje jako wyzwalany generator pojedynczego impulsu. Zastosowania tego trybu to np. układy czasowe [...]" - Źródło, Wikipedia. Informacje dot. schematu/wartości elementów znajdziesz w nocie katalogowej lub w Wikipedii.

    Początkujący Elektronicy   18 Sie 2018 09:25 Odpowiedzi: 7    Wyświetleń: 561
  • [sprzedam] "Sztuka elektroniki" tom 1 i 2

    Witam sprzedam książkę: "Sztuka elektroniki" tom 1 i 2. Książki używane, stan dobry. Cena: 70 zł za oba tomy. Spis treści: CZĘŚĆ I Przedmowa / 11 Przedmowa do pierwszego wydania / 13 ROZDZIAŁ 1 Podstawy / 15 Wstęp / 15 Napięcie, prąd i rezystancja / 16 1.01. Napięcie i prąd / 16 1.02. Zależność między napięciem i prądem: rezystory / 17 1.03. Dzielniki...

    Elementy elektroniczne Bazar   12 Lis 2009 15:24 Odpowiedzi: 1    Wyświetleń: 4298
  • [Sprzedam] Sztuka elektroniki tom 1 i 2 Paul Horowitz , Winfield Hill

    Sprzedam książkę: "Sztuka elektroniki" tom 1 i 2. Książki używane, stan bardzo dobry Cena: 80 zł za oba tomy. Spis treści: CZĘŚĆ I Przedmowa / 11 Przedmowa do pierwszego wydania / 13 ROZDZIAŁ 1 Podstawy / 15 Wstęp / 15 Napięcie, prąd i rezystancja / 16 1.01. Napięcie i prąd / 16 1.02. Zależność między napięciem i prądem: rezystory / 17 1.03. Dzielniki...

    Elementy elektroniczne Bazar   02 Sty 2012 14:06 Odpowiedzi: 2    Wyświetleń: 3579
  • Prosty i tani stroboskop z diodami LED

    Układ prosty, w sam raz na początek. Jednakże efekty wizualne mogą byc troszeczkę niezadawalające. Zakładając że ta dioda pracuje przy napięciu 3V, a rezystancja ma 330ohm, płynie przez nią prąd 7mA. Zwiększenie tego prądu do 20mA, powinno zwiekszyć jasność około 3 razy. Wystarczy zmniejszyć rezystancją lub zwiększyć napięcie. Kalkulator rezestancju...

    DIY Poczekalnia   25 Gru 2006 18:39 Odpowiedzi: 12    Wyświetleń: 18252
  • Prosty uklad opuzniajacy zalaczanie przekaznika - szukam

    Proponuję wykorzystać układ scalony CMOS 4538 w aplikacji generatora monostabilnego z pojedyńczym wyzwalaniem, proponuję zastosować rozwiązanie według noty katalogowej tego układu. Można stosowac róznież sam układ RC, ale proponuję wyjkorzystać tą kostkę. Oczywiście przekaźnik należy wysterować sygnałem z wyjścia gneneratora monostabilnoge podaengo...

    Projektowanie Układów   11 Lip 2005 09:25 Odpowiedzi: 13    Wyświetleń: 7409
  • Re: Fiat 126p- napęd elektryczny

    hmm.. marek nie wiesz moze gdzie w lodzi sa sklepy gdzie moglbym dostac owy sprzet? btw. i co to jest to PWM ? :P Pulse width modulation czyli modulacja szerokości impulsu - na obciążenie zamiast sygnału o określonym poziomie podajesz na zmianę wartość maksymalną i zero. Zależnie od stopnia wypełnienia sygnału (czyli im dłuższy jest okres wystawiania...

    Samochody Elektryczne   25 Lut 2015 23:10 Odpowiedzi: 1022    Wyświetleń: 310714
  • HELP - metronom na 555

    Monostable - generator pojedynczego impulsu Astable mode - generator nieskończonego ciągu impulsów duty - to nie jest tryb pracy,np duty cycle: obowiązujący w danym czasie (występujący) cykl. Może być wyrażenie duty mode itd. jeszcze dodam bistable mode tak jak przerzutnik- 2 stany stabilne.

    Początkujący Elektronicy   04 Maj 2005 20:19 Odpowiedzi: 5    Wyświetleń: 1766
  • projekt i wykonanie urządzenia elektronicznego POMOCY!!!

    Musze wykonac urzadzenie aby spełniało jedno z ponizszych tematów 1 sprawdzenie bramek logicznych 2 sprawdzenie praw de morgana 3 badanie przerzutnikow JK, T, D, RS 4 licznik cyfrowy 5 dzielnik czestotliwosci 6 sumator 7 multiplekser lub demultiplekser 8 rejestr 9 multipleksowy system transmisji informacji 10 generatory pojedynczego impulsu 11 generatory...

    Projektowanie Układów   02 Kwi 2006 17:48 Odpowiedzi: 1    Wyświetleń: 2004
  • Przetwornica DC-DC (buck, step down) 3-30V 5A

    Dziękuje za odpowiedzi w temacie zasilania. Pozostaje kwestia rozwiązania przyjaznego dla użytkownika sterowania wydajnością napięcia zasilacza. Proszę Was o opinie na temat takiej idei: Włącznik (switch) W1 zmieniałby źródło impulsów: - generator ciągu impulsów - do szybkiej zmiany napięcia lub - generator pojedynczego impulsu - do precyzyjnej zmiany...

    Układy Zasilające   10 Lip 2007 07:56 Odpowiedzi: 179    Wyświetleń: 58283
  • Plc array zamiennik? Sterowanie bramy garażowej. Jaki inny układ?

    Witam wykonałem sterowanie do bramy garazowej podnoszonej za pomoca sterownika plc firmy array. Brama posaida sygnalizacje swietlna inf ze brama zjezdza na dol oraz zabezpieczenie w postaci fotokomorki refleksyjnej omron. Poniewaz uklad kosztuje ok 300 bez zasilacza bez fotokomorki chcialem poszukac czy nie moge wykonac sterowania na ukladzie cpld Max700...

    Mikrokontrolery   12 Kwi 2007 19:50 Odpowiedzi: 3    Wyświetleń: 2962
  • Atmega 32 jako sterownik plc bascom

    Witam Chciałbym użyć atmegi jako sterownika PLC (coś na wzór LOGO Siemensa) chce wykorzystywać następujące funkcje ( opóźnienie załączania ) ( opóźnienie wyłączania ) ( przerzutnik RS reset/set ) ten jest chyba ( generator impulsów ( przerzutnik stabilny z opóźnieniem ( generator pojedynczego impulsu ( licznik w górę ) ( licznik w dół ) największy problem...

    Mikrokontrolery AVR   04 Kwi 2010 11:26 Odpowiedzi: 2    Wyświetleń: 3644
  • Prosty układ z tranzystorami, kondensatorami i rezystorami dla dzwonka

    Po "złożeniu do kupy" wszystkich postów autora, oraz z jego poprzedniego tematu (przy okazji - dlaczego nie kontynuowałeś go, tylko założyłeś nowy?) wniosek jest następujący: - chcesz dzwonka bezprzewodowego użyć tylko jako nadajnika, ale potrzebujesz - układu, który wykryje zalanie elektrod (a o tym nic nie pisałeś), - sygnał z tego układu powinien...

    Początkujący Elektronicy   01 Lis 2021 17:39 Odpowiedzi: 24    Wyświetleń: 837
  • Sterowanie zliczaniem w przetworniku a/c

    „Sterowanie zliczaniem” to może być uniwibrator, generujący pojedynczy dodatni impuls o czasie trwania równym T. Przydało by się jeszcze przed tym wyzerować licznik. Jest to układ „jednorazowy” Ale można inaczej. Pudełko „sterowanie” to generator o okresie np. 2*T, sygnał ten powinien być jeszcze doprowadzony do wejścia...

    Początkujący Elektronicy   13 Lis 2004 12:43 Odpowiedzi: 1    Wyświetleń: 864
  • Zegar w układach cyfrowych

    Witam. Do generatora pojedynczego impulsu nadaje się CD 4047. Czas trwania impulsu liczysz na podstawie wzoru : t = 2,48 RC, gdzie R i C są wartościami elementów dołączonych do pin 1, 2 i 3. Układ wymaga wyzwolenia np. mikrołącznikiem (mikroswitch). Jeśli chcesz inne układy, to wpisz " gaszenie drgań zestyków " i google znajdzie. Pzdr.

    Początkujący Elektronicy   08 Lip 2006 16:44 Odpowiedzi: 18    Wyświetleń: 3810
  • włącznik (na przekaźniku) z opóźniaczem - poszukuję schematu

    Szczerze mówiąc nie widzę problemu... Przycisk powinien być w układzie zasilania 555 (tak, żeby włączenie przycisku powodowało zasilenie 555, a jego zwolnienie odłączenie od zasilania) - to będzie Twój "reset", a dalej za układem włącznik bistabilny do realizacji funkci "włącz, aż następny impuls Cię nie wyłączy". W takim wypadku bez układu liczącego,...

    Projektowanie Układów   08 Sie 2006 07:15 Odpowiedzi: 22    Wyświetleń: 5291
  • zegarek "śmigłowy"

    Z tego co pamiętam to można by zastosować generator najlepiej pojedynczego impulsu o czasie us czy ms. W swojej płytce zasilacza zostawiłem lukę na goldpiny pomiędzy generatorem a tranzystorami sterującymi IRF-em.

    DIY Konstrukcje   01 Lut 2013 19:12 Odpowiedzi: 149    Wyświetleń: 45994
  • Kupię Zegar DCF ze sterownikiem

    Może wystarczy to:[url=]Link, albo to: [url=]Link Generator pojedynczego impulsu można prosto wykonać poza samym zegarem. Należy tylko podać parametry tego impulsu jego napięcie i czas trwania. Czasem wystarczy kilka elementów.

    Ogłoszenia Elektronika   24 Lut 2011 15:36 Odpowiedzi: 3    Wyświetleń: 1929
  • Licznik na układach TTL jak sprawdzić

    Witam! Można póbować za pomocą generatora pojedynczych impulsów (broń Boże zwykłego przełącznika - ze względu na iskrzenie styków leci seria impulsów) podawać kolejno impulsy na wejście 14 pierwszego licznika i mierzyć stany na pinach: 12, 9, 8, 11. Następnie przeciąć ścieżkę łączącą pin 11 z pinem 14 następnego licznika i mierzyć stany na pinach itd....

    Początkujący Elektronicy   15 Mar 2016 15:40 Odpowiedzi: 6    Wyświetleń: 1179
  • Dwa arduino i pomiar odleglosci miedzy nimi

    (at)Todeo Są gotowe moduły radiowe do lokalizacji 3D. Co prawda widziałem takie urządzenia do lokalizacji obiektow w pomieszczeniach, ale byc może na 300 m też zadziałają. Poogooglaj, coś znajdziesz. Sam pomysł z pomiarem czasu propagacji sygnału radiowego może nie jest zły, tylko wymaga superprecyzyjnego generatora, ale to da się jeszcze zrobić. Ale...

    Projektowanie Układów   07 Sty 2018 18:47 Odpowiedzi: 13    Wyświetleń: 1482
  • Rozważania koncepcyjne dla pomysłowych: Jak aktywować coś czego nie można dotknąć?

    Ma przerwania od pinów, które mogą go wybudzić, gdy wykryją jakiś impuls elektryczny. No to może generator pojedynczego impulsu (piku) o sporej mocy (lub określonego ciągu impulsów) na tyle różniącego się od możliwych występujących w "tle" by był łatwo definiowalny przez ten AVR? V.

    Projektowanie Układów   14 Sty 2024 16:51 Odpowiedzi: 20    Wyświetleń: 1500
  • hybryda?

    E-100-01H - dwie czterowejściowe bramki NOR(jedna bramka z otwartym kolektorem) E-100-04H - generator pojedynczego impulsu i dwuwejściowa bramka NOR z otwartym kolektorem

    Inne Serwis   05 Mar 2003 19:45 Odpowiedzi: 11    Wyświetleń: 2263
  • Obrotomierz do diesla

    Jakieś 10 lat temu zrobiłem obrotomierz do starego diesel-a... Przy wakupompie było koło pasowe z 2 otworami symetrycznie (w sumie to nawet mało istotne) co wykożystałem jako przerywacz w optobarierze. Dioda nadawcza od pilota a z drugiej strony odbiorcza od TV, wzmacniacz operacyjny, wyjście zapietę diodą w kierunku przewodzenia a impulsiki wyzwalały...

    Samochody Elektryka i elektronika   18 Kwi 2009 13:16 Odpowiedzi: 22    Wyświetleń: 22893
  • Komparator analogowy i transoptor szczelinowy

    Być może układ trzeba uruchamiać "krok po kroku", czy też "ogniwo po ogniwie" i ewentualnie wprowadzać poprawki Dobrze byłoby uzupełnić rysunek o wskazania logiki sygnałów w poszczególnych częściach obwodu, czyli L (low, niski) i opowiadające temu stanowi napięcie, H (high, wysoki) i jak poprzednio. Należy przy tym ZACHOWAĆ KOLEJNOŚĆ stanów, czyli wartości...

    Elementy Elektroniczne - zamienniki i identyfikacja   06 Maj 2007 19:22 Odpowiedzi: 5    Wyświetleń: 4221
  • przelacznik, przekaznik??

    Więc musisz zobaczyć na "generator pojedynczego impulsu o czasie trwania 1s do 2s" Na ne 555 lub na cyfrowych cd4093. Bardziej prymitywne bez układów scalonych to kondensator elektrolityczny rzędu 1000-2200 mikrofaradów jest podłączony do napięcia 12V i naładowany. Przełącznik (a nie wyłącznik) przełącza kondensator miedzy plusem 12V a obwodem przekaźniczka...

    Elektryka Instalacje i Sieci   09 Maj 2008 15:18 Odpowiedzi: 5    Wyświetleń: 1451
  • Dzielnik przez 97 - jak go stworzyć na 74LS193 ?

    ja bym wręcz proponował nie 1Hz a generator pojedynczych impulsów, czyli taki gdzie po jednym naciśnięciu przycisku podajesz jeden impuls. Wtedy po każdym impulsie masz czas na sprawdzenie wszystkich stanów i przeanalizowanie.Praco i czasochłonne ale powinno być skuteczne.

    Początkujący Elektronicy   08 Cze 2008 11:50 Odpowiedzi: 37    Wyświetleń: 4696
  • Otworzenie obwodu na jakis czas, kondensator

    potrzebuję otworzyć obwód na 5s a po tym czasie ma się on ponownie zamknąc, jak tego dokonać? nada się kondensator?? Stosując tylko RC tego nie wykonasz. Zastosuj uniwibrator (generator pojedynczego impulsu), wybór w scalakach masz wielki. W zależności od tego jaki obwód chcesz włączać, dobierasz układ wykonawczy np przekaźnik.

    Początkujący Elektronicy   29 Wrz 2009 07:57 Odpowiedzi: 3    Wyświetleń: 938
  • Podstawowe pytanie z programowaniem PLC

    Rozumiem, że chcesz programować sterownik przy pomocy języka strukturalnego i zgodnie z IEC61131? Praktycznie w tym języku są realizowane wszystkie instrukcje sterownika. Wobec tego trzeba sprawdzić jak nazywają się parametry wywołania. Nie znam tego sterownika, który używasz za to korzystam z ST. Ja wywołuję licznik czasu w ten sposób (generator pojedynczego...

    Automatyka Przemysłowa   09 Lut 2012 14:32 Odpowiedzi: 21    Wyświetleń: 6802
  • Jaki laser dyskotekowy i stroboskop/kolorofon wybrać ?

    Czym różni się stroboskop od kolorofonu A to tak trudno wpisać w Google i poszukać, poczytać, wyciągnąć wnioski? co jest lepsze To tak jakbyś zapytał co jest lepsze schabowy, czy lody. Jedno i drugie to pokarm. Ale zupełnie inaczej (przeważnie) wygląda. Czy mają one regulację kolorów ? KTO? Bo obie rzeczy to zupełnie co innego -stroboskop jako taki...

    Estrada Co Kupić   23 Mar 2013 00:17 Odpowiedzi: 19    Wyświetleń: 5376
  • Trenażer strzelecki na bazie lasera

    Wykazujesz strasznie mało własnej inwencji: [url=]Generator pojedynczego impulsu Tylko nie pisz, że szukałeś...

    Początkujący Elektronicy   25 Lut 2017 17:32 Odpowiedzi: 18    Wyświetleń: 2292