REKLAMA

generator sygnal prostokatny

Znaleziono około 43 wyników dla: generator sygnal prostokatny
  • Poszukuje pomysłu na generator od 0-100Hz i amplitudzie 24V

    Poszukuje pomysłu na generator od 0-100Hz i amplitudzie 24V z tym ze najlepiej by amplituda napiecia byla zalezna od podanego napiecia zasilajacego generator ( nie wiekszego niz 27 V). Generator ma generowac sygnal prostokatny.

    Projektowanie Układów   06 Sty 2006 17:40 Odpowiedzi: 5    Wyświetleń: 1699
  • Generator 100MHz - jak wykonac?

    nie napisales, jakie chcesz uzyskac parametry tego sygnalu stalosc ? szumy ? jesli to bez znaczenia, to uzyj sobie PLL i vco na 100MHz (mniej wiecej) bedziesz mogl dodatkowo sobie to przestrajac zaleznie od scalaka uzytego dzialac to bedzie z kwarcem 4000 albo 10240 albo 3200kHz generator VCO , z niego sobie uformujesz sygnal na TTL i po bolu wspomne...

    Projektowanie Układów   05 Lip 2003 23:17 Odpowiedzi: 6    Wyświetleń: 2954
  • REKLAMA
  • Generator HV na NE555 + lampa plazmowa v2.0

    Szkoda ze u mnie nie ma takich kul, a one są juz gotowe do podłączenia czy cos tzreba przy nich majstrować? Są gotowe do podlaczenia, trzeba aby wsunac kabel HV do srodka. 1. Do czego potrzebne są aż dwa włączniki? 2. Co sygnalizują te trzy diody LED? 3. Gdzie jest transformator WN? 4. Nie podłączasz uziemienia? 5. Nie byłoby bezpieczniej założyć jakieś...

    DIY Konstrukcje   21 Lis 2009 11:27 Odpowiedzi: 27    Wyświetleń: 13921
  • Szukam schematu generatora sinusa na 50Hz

    Witam, moze troszke wiecej szczegolow, na ukladzie 555 to poszalec nie mozna za duzo, jakie ma byc obciazenie, to ma czyms sterowac, znieksztalcenia itp. ? Tam na szybko z tego co pamietam to standardowy 555 pracuje od 4.5V, musisz szukac wersji specjalnych. Da sie zrobic prosty generator 50Hz na 555 ale sygnal bedzie prostokatny lub trojkatny nieco...

    Początkujący Elektronicy   26 Wrz 2016 07:46 Odpowiedzi: 13    Wyświetleń: 2448
  • REKLAMA
  • Jak wzmocnic sygnal prostokatny bez wiekszych znieksztalcen?

    No moze rzeczywiscie gadam bzdury. 54 to pomylka z mojej strony. Ale tu orginalny tekst do pierwszego schematu. Tam stoi cos o 50Vp2p The first section (U1A) of the LM358 dual op-amp is a 50 volt peak-to-peak square wave oscillator. The second section (U1B) reverses polarity and provides + 27 VDC output of low impedance. This delivers a biphasic, sharp...

    Projektowanie Układów   23 Gru 2004 07:14 Odpowiedzi: 23    Wyświetleń: 3987
  • sygnal prostokatny

    czesc potrzebuje podpowiedzi w temacie: generator prostokatny 1Hz do 1MHz amplituda 0-5V czy uda mi sie tak przerobic ten uklad: oczywiscie pasmo jest tak duze ze beba 3 zakresy: do 1kHz(10k), do 100kHz i do 1MHz ewentualnie jakis inny prosty uklad dzieki

    Początkujący Elektronicy   16 Sty 2007 23:15 Odpowiedzi: 0    Wyświetleń: 941
  • GENERATOR CZESTOTLIWOSCI

    Sygnal normalny prostokatny potrzebuje wybierac 3 rozne mozliwosci jak napisalem wyzej!

    Warsztat elektronika   22 Kwi 2003 18:44 Odpowiedzi: 6    Wyświetleń: 1942
  • REKLAMA
  • Pomiar czestotliwosci generatora

    Witam W zalaczniku fragment schematu mikroprocesorowego miernika indukcyjnosci i pojemnosci . Niestety nie znam dokladnie zasady dzialania tej czesci ukladu. Napewno na wyjsciu wzm. operacyjnego powstaje sygnal prostokatny, ktorego impulsy sa zliczane przez mikroprocesor i wyswietlane na tekstowym wyswietlaczu LCD, cos w rodzaju miernika czestotliwosci....

    Warsztat elektronika   20 Sie 2006 11:01 Odpowiedzi: 3    Wyświetleń: 2088
  • [atmega8][c]generator i zwykly program w 1

    Jezeli chcesz miec sygnal prostokatny na pinie to uzyj trybu PWM jednego z licznikow, tyle ze bedziesz mial tylko konkretne piny do dyspozycji. Mozesz tez uzyc trybu CTC wtedy w przerwaniu mozesz dac ten wiesz ktory neguje pin :)

    Mikrokontrolery AVR   05 Cze 2008 22:45 Odpowiedzi: 8    Wyświetleń: 2326
  • Podwójny generator przebiegu prostokątnego 3-20000Hz

    Jak na generator, to ma stanowczo za duza rezystancje wyjsciowa. Nalezalo dodac jakis wzmacniacz operacyjny w roli wtornika lub ostatecznie prosby bufor na tranzystorze. A sprzed audio, faktycznie czasem bada sie sygnalem prostokatnym.

    DIY Konstrukcje   13 Lut 2008 14:25 Odpowiedzi: 27    Wyświetleń: 9344
  • REKLAMA
  • [Inne] elektroniczny przerywacz sygnalu

    Dzien dobry. jestem elektronikiem amatorem i pracuje nad elektronicznym przerywaczem sygnalu analogowego. To taki moj wymysl przerywacza sygnalu z gitary cyklicznie przerywanego sygnalem prostokatnym zbudowanym na 555. Chodzi o to ,ze czegokolwiek bym nie uzywal to zawsze slysze trzaski generatora w glosniku. Probowalem uzywac tranzystora mosfet jako...

    Początkujący Elektronicy   09 Paź 2017 17:31 Odpowiedzi: 2    Wyświetleń: 378
  • Generator sygnałów prostokątnych

    Dziekuje za wyczerpujaca odpowiedz. Georadar to my mamy ale to droga zabawka i nie okresli od razu jaki przedmiot mamy na drodze sygnalu. Szukam prostszego rozwiazania czegos pomiędzy georadarem a detektorem metalu i magnetometrem albo gradiomtrem. Czytalem gdzies na forum ze pewien zakres fal prostokatnych latwiej przenika w ziemi.

    DIY Poczekalnia   21 Sie 2020 18:31 Odpowiedzi: 5    Wyświetleń: 8052
  • Problem z wyjsciem TTL generatora MAX038

    Zgadza się ,jeśli to jest wejście bramki i masa DGND (pin 15) jest połączona z resztą mas oraz zasilanie wewnętrznego komparatora DV+(pin 16) =5V?.Powinien liczyć. Ale nie robi tego choć przebieg widać na oscyl.-tak? Zakładając że nie ma błędu w pomiarze ,jedyna różnica pomiędzy wej osc. a TTL (w tym przpadku) jaka przychodzi do głowy to różne rez....

    Projektowanie Układów   06 Wrz 2005 15:35 Odpowiedzi: 13    Wyświetleń: 2860
  • Generator przebiegu piłokształtnego , problem .

    Cuz mam juz zrealizowane wlasne rozwiazanie, wprawdzie dosc skomplikowane ale dziala. Do jednego wejścia bramki and podlaczamy multiwibrator monostabilny o okreslonej dlugosci impulsu, do drugiej generator przebiegow prostokatnych (czestotliwosc ok 10kHz moze byc). Sygnal z bramki podlaczamy do clocka licznika 8-bitowego. Sygnaly z wyjsc licznika do...

    Projektowanie Układów   29 Lip 2006 19:41 Odpowiedzi: 8    Wyświetleń: 3807
  • Generator na multiwibratorze - kto pomoze zaprojektowac?

    Musze zaprojektowac[nie fizycznie, jedynie schemat i kilka zdan opisu] generator sygnalów prostokatnych w oparciu o multiwibrator astabilny o wspolczynniku wypelnienia 0.5 i czestotliwsosci 1000 Hz. Za kazdą pomoc bede wdzieczny Pozdrawiam

    Początkujący Elektronicy   21 Kwi 2005 16:36 Odpowiedzi: 5    Wyświetleń: 3414
  • modyfikacja generatora fali prostokątnej w programie PSice

    witam mam generator nastepujacych parametrach: napiecie zasialnia 9V z baterii, przebieg prostokatny, wypelnienie 50%, czestotliwosc 30kHz, napiecie wyjsciowe ok 8V wg schematu: mam takie zadanie do wykonania w programie PSice - uzyskac parametry: regulacja napiecia wyjsciowego 0-12V zmiana czestotliwosci 0,1HZ-1 500 000Hz wyswietlanie wartosci czestotliwosci...

    Początkujący Elektronicy   10 Cze 2006 12:06 Odpowiedzi: 1    Wyświetleń: 1347
  • Generator częstotliwości

    Schemay jest taki jak w zalaczniku jak bedziesz chcial to moge narysowac przebiegi Dziala tak ze jak na wejscie doprowadzisz wygnal sinusoidalnie zmienny to na wyjsciu uzyskasz ciag sygnalow prostokatnych . Teraz widze ze w schemacie nie ma rezystancji bazy tranztstora T2 wpieta jest pomiedzy baze a usiemienie

    Początkujący Serwisanci   30 Wrz 2005 00:26 Odpowiedzi: 4    Wyświetleń: 1896
  • Ustawianie Timerow/licznikow w AT89CXXXX jako generator 50Hz

    Widzisz ja zajmuje sie programowaniem w Assamblerze nie w c(BASCOM), moje pytanie bardziej brzmialo jak ustawic rejestry od licznika(np T0) zeby pracowal on jako generator, reszta ukladu(tj ladowanie itp, to juz wiem jak wykonac), otoz generator ten ma miec T=0.02s(czyli 50Hz), ma to byc przebieg prostokatny o wypelnieniu 50%, negacje tegozsygnalu bede...

    Mikrokontrolery   26 Mar 2006 15:41 Odpowiedzi: 12    Wyświetleń: 1869
  • generator sygnalow prostokatnych

    przy f 1hz sygnal ma sie zmieniac co 0,5 sec (okres rowny 1s), czyli najlepiej dla tego mikroprocesora wykorzystac kwarc 12mhz, bedzie wszystko ladnie wychodzilo jezeli sie myle to prosze mnie poprawic niedlugo wrzuce tu jakies rysunki ktore beda pokazywaly polaczenia elementow... edit: tak wiec zamieszczam taki na szybko zrobiony schemacik w paincie...

    Początkujący Elektronicy   12 Sty 2007 17:05 Odpowiedzi: 2    Wyświetleń: 1218
  • Nie wiem co się stało ATmega 128

    Kolega McRancor podpowiadal, abys podlaczyl zewnetrzy generator kwarcowy. Mysle, ze on myslal o generatorze a nie a kwarcu Xtal. Podlacz generator a nie Xtal, albo podlacz sygnal z generatora zewnetrzego dajacy sygnal prostokatny o zadanej czestototliwosci. Nie moge, no nie moge (wybacz) ale kocham polski, buduje pisze sie przez u zwykle a nie kreskowane....

    Mikrokontrolery   06 Lis 2005 01:40 Odpowiedzi: 14    Wyświetleń: 2585
  • Pomiary oscyloskopowe

    Mam nastepujacy problem: Do wejscia oscyloskopu doprowadzamy sygnal prostokatny z generatora niskiej czestotliwosci o czestosci np. 50Hz. Sygnal obserwujemy dla sprzezenia stałopradowego DC i zmiennopradowego AC. A pytanie jest takie: -wyjasnic roznice w ksztaltach obu sygnalow? (przy DC jest idealnie kwadratowy, przy AC poziome "kreski" sa wygiete)...

    Początkujący Elektronicy   08 Lis 2005 20:00 Odpowiedzi: 4    Wyświetleń: 1483
  • Wskrzeszanie AtMegi32. Jak to zrobić? Sygnał taktujący.

    Witam! Dostalem niedawno od kolegi AtMege32 w ktorej (podobno przypadkiem ;) ) zostaly ustawione fusebity na zewnetrzny sygnal traktujacy. Innymi slowy AtMega 'lezy i kwiczy'. Sam mam (w prawdzie dosc prymitywnie zrobiona, ale dzialajaca ;) ) plytke z AtMega32 oraz programator Usbasp. Wszystko ladnie dziala na mojej AtMedze. Przechodzac do problemu...

    Projektowanie Układów   23 Mar 2008 15:22 Odpowiedzi: 13    Wyświetleń: 1951
  • Bramka zlinearyzowana - co sie zmienia?

    Stanów pośrednich nie ma w zwykłych bramkach. No fakt, nie doczytalem w Twoim poprzednim poscie. Masz przykład linearyzacji w zamieszccz0nych plikach.Amplituda V1=0.2V,na linear1.jpg widzisz że sygnał jest sinusoidą.A spróbój to uzyskać bez lineryzacji. Ale dalej nie rozumiem, jak to sie ma do tych generatorow. Przeciez one i tak maja generowac sygnal...

    Początkujący Elektronicy   22 Lis 2005 23:51 Odpowiedzi: 21    Wyświetleń: 4955
  • [Atmega16][C] Port A jako magistrala adresowa i danych

    Czestotliwosc 16MHz. Te bledne wartosci na pewno nie pochodza od SJA1000 (kontolera CAN). Analizujac dane zapisane w FIFO to 0xFF pojawia sie w roznych momentach i niezaleznie od odczytu z CAN . Min procesor zapisuje do FIFO : czas ,nr kanalu,kilka bajtow pustych wypelnionych zerami i przeklamania zdarzaja sie rowniez tutaj , wiec sadze ze procedura...

    Mikrokontrolery AVR   13 Wrz 2008 05:52 Odpowiedzi: 6    Wyświetleń: 3012
  • Układ mnożący czestotliwosc * 2 ??

    Witam, poszukuje rozwiazania technicznego ukladu mnozacego czestotliwosc generatora razy dwa. Poniewaz mam generator zbudowany na 74hc04 i potrzbuje podwoic jego czestotliwosc, dodam ze po przemnozeniu musze miec sygnal prostokatny. Z gory dziekuje za odpowiedz.

    Początkujący Elektronicy   05 Mar 2005 20:48 Odpowiedzi: 15    Wyświetleń: 4373
  • badanie wzmacniaczy m. cz. oscyloskopem i zasilaczy

    czyli w zasadzie do ogledzin wzmacniaczy wystarczy podac sygnal sinusoidalny lub prostokatny z generatora i obserwowac na oscyloskopie odksztalcenia tych przebiegow. Czy w ten sposob zauwazymy wszystkie dolegliwosci urzadzenia? Pytam, bo niektorzy fachowcy przylaczaja zewnetrzny wzmacniacz do odsluchu np. 'halasliwych tranzystorow lub lamp' np. taki...

    Początkujący Naprawy   17 Lip 2009 11:11 Odpowiedzi: 5    Wyświetleń: 6863
  • transmisja radiowa - mc145026 i telecontrolli

    Z tego co widzialem w noce katalogowej tych koderow to sygnal prostokatny z generatora kwarcowago (czy chocby z mikrokontrolera) mozna bez problemu podlaczyc pod wejscie RS (pin 11 mc145026) i zostawic piny Rtc i Ctc w powietrzu. Nie testowalem tego ale w noce jest tez napisane o wartosciach lelementow RC w odbiorniku: sluza one do filtrowania takich...

    Mikrokontrolery   14 Sty 2008 23:10 Odpowiedzi: 30    Wyświetleń: 12183
  • Sonar 40k i Mega32

    mam za zadanie uruchomienie sonaru dzialajacego na 40kHz Procek ma sluzyc jako generator dla tego sonaru mysle nad takim rozwiazaniem do set sonar waitus 12.5 reset sonar waitus 12.5 loop tylko czy taki program wygeneruje mi napewno sygnal prostokatny o czestotliwosci 40kHz ?? czy moze lepiej napisac program na timerach ?? tylko wtedy ile impulsow wewnetrznych...

    Mikrokontrolery   03 Cze 2004 10:59 Odpowiedzi: 4    Wyświetleń: 1344
  • g()nerator przestrajany napięciem

    Ale przeciez Koledze chodzi o generator sterowany napieciem (Voltage Controlled Oscilator - VCO). Nie ma tu mowy o żadnej modulacji tylko o ustalaniu czestotliwosci poprzez zmianę napiecia. W tym kierunku trzeba poszperac w literaturze. Jesli chodziloby o sygnal prostokatny to kiedys dzialalem na ukladzie NE555 (lub jego odpowiednikach), ale nie pamietam,...

    Radiotechnika Serwis   22 Lut 2005 13:37 Odpowiedzi: 18    Wyświetleń: 2400
  • Wtornik napieciowy i monowibrator ??

    Czyli poprostu ma to byc uklad Generatora Astabilnego przedstawiony na rys.3 (w pliku podanym post wyrzej) i liczymy ze wzoru : f[Hz] = 1,49 / (R1 +R2 [Ω]) x C[F]. Czyli jesli u nas mial byc sygnal prostokatny o czasie 1s to ma byc: 1=1,49/(R1+R2)xC czyli dowolne wartosci aby spelnialy rownanie: (R1+R2)xC=1,49 czyli np. R1=1MΩ R2=1MΩ...

    Początkujący Elektronicy   28 Maj 2006 00:34 Odpowiedzi: 18    Wyświetleń: 4506
  • zjawiska falowe - pojemnosc kondensastora

    Witam na laborce bdalismy zjawiska falowe wystepujace w kablu telefonicznym i skretce do badanych kabli dolaczany byl sygnal z generatora sinusoidalny i prostokatny o f dla kabla telefonicznego 3,5 kHz, a dla skretki 10MHz mamy dane czas przebiegu fali, z czego wyznaczylem dlugosc kabla oraz przebiegi z oscyloskopu do kabla podlaczylismy kondesator...

    Początkujący Elektronicy   09 Sty 2007 11:22 Odpowiedzi: 2    Wyświetleń: 1175
  • Arduino UNO - Projekt wędzarni,komunikacja radiowa,odczyt temperatury

    A jaki kabel najlepiej? skrętka UTP, telefoniczny? Oba powinny byc rownie dobre. Pamietaj, ze nie wykorzystasz w tym przypadku zalet skreconej pary, bo nie jest to transmisja roznicowa. Predzej ekranowanie by moglo pomagac, ale jesli zadowoli cie predkosc rzedu 1200bps czy 9600bps, to kazdy kabel powinien byc wystarczajacy przy 30m. Najlepiej zrob...

    Arduino   27 Mar 2015 21:53 Odpowiedzi: 12    Wyświetleń: 6519
  • Przecinarka plazmowa własnej konstrukcji

    Zycze powodzenia. Ja byl bym skory do zrobienia zasilania 120-230V, ewentualnie podwajacza i wyeliminowanie wogole iskrownika. Uzwojenie ktore jest wlaczone do kondensatora i do transformatora WN, uzyc jako uzwojenie pierwotne w generatorze. Dobrze aby prad pobierany nie byl wiekszy od 1-1,5A. Wiaze sie to do stosowania niskopradowych przekaznikow i...

    Elektro Spawarki i Prostowniki   23 Sty 2019 16:54 Odpowiedzi: 127    Wyświetleń: 121959
  • licznik rewersyjny = układ badajacy

    Witam wszystkich!! Mam taki problem. Chce zrobis uklad ktory by sprawdzal dwa sygnaly wejsciowe z geneartora i z czujnika. Oba sygnaly maja przebieg prostokatny. Jezeli sygnal z generatora by przychodzil szybciej niz z czujnika to ma sie zapalic gorna dioda a jak sygnaly z generatora i czujnika przychodza w tym samym czasie to ma sie apalic dolna dioda....

    Elementy Elektroniczne - zamienniki i identyfikacja   29 Mar 2007 17:16 Odpowiedzi: 1    Wyświetleń: 1002
  • Łącze optyczne Ronja 1,5km 10Mbit full duplex (GNU)

    Rzeczywiscie projekt jest interesujacy - tylko czemu jest taki skomplikowany?? Nie ma przeciez tam zadnych zabezpieczen typu WEP albo cos podobnego wiec powinien byc maksymalnie prosty. Moim zdaniem jezeli nastawiamy sie na 10M to sygnal wyjsciowy powinien byc modulowany sygnalem prostokatnym ok 100MHz(to chyba latwo wykonac na jednej bramce+generator...

    Sieci, Internet   15 Paź 2007 10:20 Odpowiedzi: 107    Wyświetleń: 23725
  • Robot pajączek. Jakie silniki zastosować? Sprawdzanie aktualnej pozycji nóg.

    Witam ponownie, Co się stanie gdy np puszcze 1.5ms 4V, 10ms 0V, 2ms 4V? przypuszczam, ze serwo zglupieje, ale nie wiem w jaki sposob. W serwie jest wewnetrzny generator impulsow prostokatnych, uruchamiany sygnalem przychodzacym z odbiornika. szerokosc impulsow generatora wewnetrznego regulowana jest wspomnianym potencjometrem sprzezenia zwrotnego. Impuls...

    Automatyka Przemysłowa   07 Sie 2005 12:55 Odpowiedzi: 21    Wyświetleń: 4410
  • Mininadajnik - czy można wstawić 7400 zamiast 7413?

    proponuje ci nie robic tego ukladu.to zwykly generator na bramce i nie uzyskasz na nim normalnej modulacji FM.poszukaj tu na elektrodzie,a znajdziesz proste nadajniki z normalnie rozwiazanym modulatorem FM na varikapie.pozatym ten generator bedzie mial wiele harmonicznych.sygnal bedziesz slyszal w kilku miejscach w radiu.to generator prostokatny,a nie...

    Projektowanie Układów   31 Maj 2006 13:59 Odpowiedzi: 18    Wyświetleń: 3567
  • [T4 2.5TDI 102KM]Za duże obroty na zegarach

    sygnał obrotów idzie w TDi ze sterownika silnika,w zależności od rocznika idzie na pin 11,lub po can.Nr licznika by coś wyjaśnił,ale w 99r can-a raczej nie będzie. Odnośnie danych na temat licznika mam tylko to: Control Module Part Number: 7D0 920 800 B Component and/or Version: T4-KOMBIINSTR. VDO V01 Software Coding: 00153 Czy sygnał będzie na 11...

    Samochody Elektryka i elektronika   11 Gru 2010 23:20 Odpowiedzi: 50    Wyświetleń: 10365
  • Układ wykrywający zwiększanie się częstotliwości przebiegu

    Jestem poczatkujacym i mam problem z nastepujacym zadaniem: "Zaprojektowac uklad wykrywajacy zwiekszenie sie czestotliwosci przebiegu wejsciowego powyzej zadanej wartosci fo. Gdy fwe > fo, to sygnal wyjsciowy ma miec wartosc 0, natomiast gdy fwe < fo, to na wysjciu powinien pojawic sie przebieg prostokatny o czestotliwosci 1/2 fwe." Nie bardzo...

    Nauka Elektroniki, Teoria i Laborki   11 Paź 2009 18:39 Odpowiedzi: 8    Wyświetleń: 2542
  • atmega8a - Nie działa atmega8a - zepsułem?

    Witam, W skrócie - mam 2x atmega8a-pu kupione na allegro. Chce na tym uruchomić najprostsza migającą diodę. Drobne utrudnienie, że działam spod linuxa, ale to chyba nie powinno mieć wpływu. Programator mam usbAsp. I teraz cała historia moich zmagań: Podłączyłem sobie wszystko wg. schematów dostępnych w sieci, z uwzgl. pinów mojego procka. W Arduino...

    Mikrokontrolery Początkujący   25 Cze 2014 11:09 Odpowiedzi: 4    Wyświetleń: 2625
  • Czym to można zasterować: SERWO TOWER PRO MG995

    Witam... Dwa przewody to zasilanie, ten trzeci to sygnal sterujacy. Podajesz na niego przebieg prostokatny, czestotliwoscia tego przebiegu (wypelnieniem) ustalasz polozenie osi serwa. Wysterowac to mozesz za pomoca uC z wbudowanych przetwornikiem A/D lub zbudowac uklad na generatorze impulsow ne555 (najprosciej) z regulowanym wypelnieniem. W zalaczniku...

    Robotyka Modelarstwo i CNC   19 Wrz 2006 21:21 Odpowiedzi: 3    Wyświetleń: 7791
  • częstotliwościomierz (Atmega8)

    Zgadzam sie w duzej mierze z kolega PiotrPichuta szkoda czasu na LED-y skoro ma sie prosta obsluge LCD... Jak patrze w listing progamu stedujacego 4 wyswietlaczami LED to zajmuje ona okolo 3 strony formatu B5... Wiec kolego rozpatrz mozliwosc zastosowania wyswietlacza LCD... Co do czestosciomierza to znowu zgadzam sie z kolega Piotrem :)... i dodam...

    Mikrokontrolery   12 Sty 2008 19:01 Odpowiedzi: 8    Wyświetleń: 4936
  • jakie wymiary rdzenia transformatora

    Jak szybko ma być naładowana ta pojemność 1,5uF na wyjściu ? Czy to 5kHz to jest jakieś maksimum ilości ładowań. dla obrotów silnika 10 000 obr/min 166,66Hz czyli 166 iskier na sekundę wiec max czas ładowania nie powinien być dłuższy od 6ms, żeby zdążył sie co obrót nałdować, no i odjac jeszce od tego czas na wyładowanie (kondensator jest połączony...

    Początkujący Naprawy   03 Lip 2009 16:10 Odpowiedzi: 9    Wyświetleń: 4338