REKLAMA

generator wzorcowy

Znaleziono około 551 wyników dla: generator wzorcowy
  • Mały generator częstotliwości wzorcowych.

    Witam wszystkich. Budując ostatnio miernik częstotliwości,wystąpiła potrzeba pobieżnego sprawdzenia jego działania. Znalazłem bardzo prosty schemat generatora czterech częstotliwości na jednym układzie scalonym,kwarcu plus parę elementów.Projekt powstał z marszu,nie stosowałem termotransferu tylko pisak wodoodporny.Dlatego płytka jest jak jest.Koszt...

    DIY Poczekalnia   12 Lut 2012 19:32 Odpowiedzi: 6    Wyświetleń: 5469
  • Pomysł na generator (regulacja fx i %)

    Potrzebuję generatorowa wzorcowego do pewnego projektu. Siedzę nad tym już trochę i nie mam pomysłu na to jak go wykonać. O ile ze stroną sprzętową nie miałem problemu to, co do programu, co chwile się potykam. Zakres pracy generatora na szczęście nie będzie duży od 0 do 500Hz z przeskokiem, co 1Hz. Problemem jest to, że nie tylko musze regulować częstotliwość,...

    Mikrokontrolery   18 Mar 2007 18:33 Odpowiedzi: 13    Wyświetleń: 3026
  • AVR - Koncepcja generatora arbitralnego?

    Generatora arbitralnego na AD9850 nie zrobisz. Druga sprawa to trudność z precyzyjnym uzyskaniem konkretnej częstotliwości - na generator częstotliwości wzorcowej słabo się nadaje. Chyba, że częstotliwość będzie się pokrywać z jednym z jego kroków. Ale poza tym bardzo fajny układ który sam posiadam i wykorzystuję jako generator.

    Projektowanie Układów   21 Sie 2016 00:50 Odpowiedzi: 8    Wyświetleń: 1320
  • REKLAMA
  • Generator kwarcowy 10MHz

    Szukam układu j.w. o dokładności 1Hz wyjście TTL jako generator wzorcowy. Najlepiej jak by był na układzie scalonym. Nie wiem czy taki scalak istnieje. Próbowałem na 7400 ale za mocno pływa na74HC04 nie chce mi wystartować Np. udało mi się zrobić porządny generator 1Hz na CD4060 (układ zegarkowy).

    Początkujący Elektronicy   28 Sie 2006 22:10 Odpowiedzi: 4    Wyświetleń: 3444
  • Na czym generator prostokąta ?

    Jeżeli to ma być do sprawdzania to raczej w prosty sposób tego nie rozwiążesz. Np. podstawa czasu w oscyloskopie wymaga sygnału kalibrowanego i stabilnego w czasie, i tu już potrzebny jest generator wzorcowy. Ja bym proponował rozejrzeć sie po likwidowanych zakładach lub na allegro. Za niewielkie pieniądze możesz nabyć np. KZ1508- dekadowy generator...

    Projektowanie Układów   26 Maj 2008 08:48 Odpowiedzi: 2    Wyświetleń: 1551
  • REKLAMA
  • Generator funkcyjny DDS

    45 nie 45, ale poszycie TQFP na płytce uniwersalnej jest godne uwagi:) Swoją drogą, sam się zabieram do takiego projektu od paru lat. Już nawet kiedyś kawałek zrobiłem - tylko u mnie całość opierała się o zmienną częstotliwość taktowania przetwornika. No i został do zrobienia właśnie generator wzorcowy.

    DIY Zagranica   12 Gru 2008 18:06 Odpowiedzi: 4    Wyświetleń: 13429
  • Polski oscyloskop OS-301 kalibracja sondy (brak wew. gen. wzorcowego)

    Witam Serdecznie Problem dotyczy oscyloskopu Unitra Unima OS-301. Interesuje mnie kalibracja sondy i zastanawiam się czy nie ma innej opcji w tym oscyloskopie jak tylko poprzez zewnętrzny generator funkcji? Pytam ponieważ wyjścia generatora wzorcowego wewnętrznego oscyloskopu nie widzę. Dzisiaj takie wyjście jest standardem więc z takim problemem się...

    Warsztat elektronika   17 Sty 2013 12:06 Odpowiedzi: 1    Wyświetleń: 2838
  • Pomiary ch-tyk przejściowych, analizator widma, 2 x generator

    Urządzenie umożliwia: - badanie charakterystyk w paśmie częstotliwości 50kHz-50MHz - posiada wyjście SPI służace do zmian wyjściowych (zbieranie ch-k ze względu na inne parametry) - badanie widma sygnału z krokiem 10kHz lub 100kHz, z opcją stop - dwa generatory sinus (ok 300mV) i prostokąt (wyjścia CMOS) 5kHz-50MHz - dla pracy stacjonarnej wyjście...

    DIY Konkurs   10 Gru 2017 00:38 Odpowiedzi: 3    Wyświetleń: 3273
  • Mini generator warsztatowy, prostokąt, piła, trójkąt, sinus na NE555

    Czasem każdemu elektronikowi-konstruktorowi zdarza się potrzeba sprawdzenia działania jakiegoś układu przez podanie na jego wejście sygnału testowego o jakiejś ściśle określonej lub regulowanej częstotliwości i/lub kształcie. Układy do wytwarzania takich przebiegów nazywane są generatorami funkcyjnymi. Jednym z najprostszych w aplikacji i najbardziej...

    Artykuły   30 Maj 2023 15:18 Odpowiedzi: 13    Wyświetleń: 3807
  • REKLAMA
  • Błąd generatora wzorcowego Tektronix TDS210

    Witam, czy mógłby mi ktoś pomóc w znalezieniu błędu generatora wzorcowego w instrukcji do oscyloskopu Tektronix TDS210? Potrzebuję do obliczenia błędu granicznego pomiaru częstotliwości, a nie mogę go znaleźć. Instrukcja w załączniku:

    Warsztat elektronika   07 Sty 2017 12:35 Odpowiedzi: 1    Wyświetleń: 633
  • REKLAMA
  • Wzmocnienie syg. wzorcowego.

    Czesc, mam sygnal z generatora wzorcowego (sinus, 5 MHz) o amp. 300-400 mVpp i chcialbym zrobic z niego cos podobnego do TTL (ew. sinus 3Vpp); nie chcę stosować komparatorów bo wprowadzają chyba jitter. Myślę o tranzystorze pracującym w takim układzie, aby dawał bardzo strome zbocza. Czy możecie coś zaproponować? Wyjście mogę obciązyć, bo wcześniej...

    Projektowanie Układów   24 Lip 2006 23:28 Odpowiedzi: 2    Wyświetleń: 954
  • Potrzebny stabilny generator wzorcowy

    Jest dokładnie tak jak w temacie. Muszę ustawić odpowiednio generator podstawy czasu w analogowym częstotliwościomierzu. Ma on zakres 0,1 ~ 99,9 kHz. I należy podłączyć do wejścia sygnał o znanej częstotliwości, a następnie dopasować częstotliwościomierz, by pokazywał tę wartość. I tu jest problem. Muszę wykonać stabilny generator (np. 1kHz), tymczasem...

    Projektowanie Układów   13 Lut 2008 19:52 Odpowiedzi: 4    Wyświetleń: 2805
  • Generator 30 MHz - jak zbudować ?

    Kolego Quarz, jakoś inni wiedzieli o co chodzi, więc proszę bez filozofii:) Jaka tu filozofia :?: ...konktretne pytanie o podstawowy parametr generatora... :!: :idea: Ale żeby nie było amplituda 5V, (lub 3V) stałość no tak 1Hz / dzień byłoby ok ;) Kształt - dowolny najwyżej sobie sprostuję na prostokąt jakimś shmidttem Pozdrawiam serdecznie - Łukasz:)...

    Początkujący Elektronicy   19 Maj 2008 21:01 Odpowiedzi: 13    Wyświetleń: 3688
  • Generator wzorcowy do częstościomierza

    Potrzebuje wykonać częstościomierz do pomiaru sygnałów o częstotliwościach 5-50MHz z dokładnością do pojedynczych herców. Szacuję że potrzebuje źródło sygnału referencyjnego o dokładności 10 do -7. Nie mam praktyki przy pracy z układami tego typu, więc mam pytania: 1. Jaką dokładność można osiągnąć przy użyciu zwykłego kwarcu stabilizowanego temperaturowo?...

    Radiotechnika Początkujący   12 Mar 2010 16:24 Odpowiedzi: 75    Wyświetleń: 37406
  • Velleman PCSGU250 (oscyloskop i generator)

    Proszę o opinie o powyższym sprzęcie. Jest to oscyloskop 12MHz i generator USB w jednym. Cena ok. 850,00zł. Cechy: generator funkcyjny: generator wzorcowy stabilizowany kwarcem przebiegi: sinus, trójkąt, prostokąt fabrycznie przygotowana baza przebiegów np: sin(x)/x, DCV, sweep, ... oscyloskop: funkcje auto set-up funkcja pre-trigger odczyt: True RMS,...

    Warsztat co kupić?   07 Lut 2010 20:31 Odpowiedzi: 15    Wyświetleń: 6463
  • [Sprzedam] TCXO-4 5MHz generator wzorcowy

    Sprzedam genertor wzorcowy skompensowany temperaturowo TCXO-4 (5MHz). Produkcja OMIG. Z demontażu z wojskowej radiostacji. Stan idealny- generator sprawdzony i 100% sprawny. Cena 45 zł + przesyłka

    Elementy elektroniczne Bazar   23 Lip 2011 17:27 Odpowiedzi: 1    Wyświetleń: 1329
  • Tester rezonatorów - generator wzorcowy

    Na zdjęciach: płytka drukowana, jej widok 3D oraz gotowy prototyp. Skonstruowałem urządzenie, które jest połączeniem testera rezonatorów kwarcowych (RE 2/1997) i generatora częstotliwości wzorcowej z dzielnikami (PE 12/1999). Dzięki niemu i dołączonemu do wyjścia miernikowi częstotliwości można sprawdzać rezonatory kwarcowe (także ceramiczne), o częstotliwości...

    DIY Konstrukcje   17 Sie 2013 00:18 Odpowiedzi: 5    Wyświetleń: 8220
  • Miernik CH3-63-1 - Generator 5MHz

    To jest generator wzorcowy stabilizowany termicznie. Obracając potencjometrem R8 zmieniałeś nastawę temperatury. Zapewne nie zauważyłeś zmiany częstotliwości, bo zmiana nastąpi po 15-20min, gdy temperatura się zmieni. Skoro generator nadal Ci pokazuje dobrze, to ja na twoim miejscu nic już bym nie ruszał. To, że generator potrzebuje czasu, żeby się...

    Warsztat elektronika   26 Mar 2017 18:23 Odpowiedzi: 3    Wyświetleń: 1053
  • Generator wzorcowy audio obudowa z podstawką.

    Jestem w trakcie projektowania generatora sinus. poszykuje od pewnego czasy odbudowy do niego,pasowała by mi obudowa z podstawką. gdzie można kupić coś podobnego?

    Projektowanie Co i Gdzie Kupić ?   16 Sty 2019 23:48 Odpowiedzi: 5    Wyświetleń: 573
  • Generator wzorcowy GPS SYNC2

    Poniższy projekt jest ulepszoną wersją prezentowanego przeze mnie jakiś czas temu generatora wzorcowego synchronizowanego z odbiornikiem GPS. W związku z tym opis będzie krótszy, wspomnę tylko o różnicach oraz nowych funkcjonalnościach urządzenia. Przed dalszą lekturą warto zapoznać się z protoplastą.

    DIY Konstrukcje   10 Gru 2018 20:59 Odpowiedzi: 5    Wyświetleń: 2691
  • Jak ustabilizować częstotliwość w generatorze Zopan PG 20?

    Najpewniej ta PFL'ka ma generator GWM-5-1 jest w termostacie (choć spotyka się też wersje z TCXO). Po co zaglądać do dtr-ki jak prościej jest napisać głupoty!!! Generator to nie miernik częstotliwości. w dtr-ce do której dałem do niej linka wyraźnie pisze że jest to zwykły generator w układzie Harley-a przestrajany od 50 do 100Mhz. No właśnie! Do DTR'ki...

    Warsztat elektronika   20 Gru 2019 10:29 Odpowiedzi: 17    Wyświetleń: 1905
  • Precyzyjne generatory wzorcowe i urządzenia do dokładnego pomiaru częstotliwości - jakie wybrać?

    Potrzebuję zrobić pomiar częstotliwości o dużej precyzji. Patrzyłem na multimetry laboratoryjne, ale te na które mógłbym sobie pozwolić mają dokładność rzędu (1%+5 ) czyli jeśli dobrze myślę to wychodzą tam ogromne przekłamania. Ponieważ cyfr znaczących malutko. Pomyślałem sobie że mogę oszacować częstotliwość w zakresie kHz lub nawet MHz, używając...

    Warsztat elektronika   22 Paź 2020 16:43 Odpowiedzi: 10    Wyświetleń: 612
  • [Sprzedam] Miernik cyfrowy czasu, C, f, generatory, konstrukcja domowa.

    Odstąpię "kombajn", wykonanie domowe na układach TTL, lata 80-te, przewidywany był do prac serwisowych i konstruktorskich w elektronice. Stworzony na wzór mierników PFL z dodatkowymi układami. Wyświetlacz na 6 lampkach NIXIE o barwie zielonej. Wbudowane funkcje pomiaru: f, C, stoper, czas impulsu, pomiar f generatora własnego lub źródła zewnętrznego....

    Ogłoszenia Elektronika   03 Sty 2021 20:16 Odpowiedzi: 0    Wyświetleń: 300
  • Naprawa Generatora Adret 740A: wymiana EPROMów, programowanie i korekcja wartości

    Przypadkiem trafiłem na ten wątek, jeszcze nie zamknięty. I oczom nie wierzę. Jak można się wypowiadać i udzielać w dyskusji nie mając pojęcia o czym się pisze. Czemu wprowadzacie pytającego w błąd? Nawet jeśli generator, o którym mowa, już jest naprawiony, to może innym się przyda garść _prawdziwych_ informacji o sterowaniu generatora Adret 740. Po...

    Warsztat elektronika   18 Mar 2024 20:26 Odpowiedzi: 36    Wyświetleń: 1611
  • Potrzebny generator

    W jakim zakresie przestrajania i mocy miało by to pracować ? 3,3 Volt od razu mi się kojarzy z komputerem ,ale może się mylę ? Jaki rozmiar tego urządzenia -z zasilaczem ,bez ? Czy może chodzi ogólnie o jakiś fabryczny generator wzorcowy ?

    Warsztat elektronika   16 Mar 2003 22:14 Odpowiedzi: 1    Wyświetleń: 1459
  • Generator prostokąta o wypełnieniu 50%. Jak zrealizować?

    Cały czas ten sam problem - jak z generatora wzorcowego, bez PLL, w prosty sposób uzyskać 1kHz, 2kHz, 3kHz ... 18kHz, 19kHz, 20kHz. Wśród nich są przecież liczby pierwsze (czyli takie, które przez całkowitą, bez reszty, dzielą się tylko przez 1 i przez siebie). Czy możesz zastosować kilka generatorów wzorcowych? Chyba raczej nie... Jest metoda bez PLL,...

    Początkujący Elektronicy   02 Cze 2006 15:37 Odpowiedzi: 18    Wyświetleń: 3296
  • Generator sterowany cyfrowo - projekt

    Witam, problem uzyskania sinusoidy to jest jedno, natomiast uzyskanie zakresu przestrajania na drodze cyfrowej to jest drugie zagadnienie. Już wcześniej sugerowałem Tobie użycie generatora z PLL, ale jak widzę, dochodzisz do tego samego, lecz idei działania tego generatora nie poznałeś dobrze, ponieważ nie pisałbyś w ten sposób jak to uczyniłeś tu....

    Początkujący Elektronicy   13 Gru 2006 01:35 Odpowiedzi: 10    Wyświetleń: 2514
  • Najprostszy generator z kwarcem

    Witam! Jak złożyć najprostszy generator stabilizowany kwarcem? Mam dużo kwarców (7MHz, 3MHz, 100kHz itp) i chciałbym złożyć coś takiego do wykalibrowania miernika częstotliwości który właśnie montuję. Będzie to swego rodzaju prosty generator "wzorcowy". Za wszelką pomoc dziękuję!

    Początkujący Elektronicy   19 Lut 2008 11:00 Odpowiedzi: 3    Wyświetleń: 2092
  • Dosyc stabilny generator 18.432MHz na kwarcu

    W załączniku schemat poglądowy . Co do stabilności to radzę zbudować i sprawdzić . Warto pamiętać ,że mając jeden generator wzorcowy częstotliwość będzie się zmieniała równo dla wszystkich układów . W komputerach klasy PC jest zwykły kwarc + PLL i też jakoś działa :).

    Mikrokontrolery   06 Lip 2008 18:07 Odpowiedzi: 13    Wyświetleń: 2909
  • Sygnał akustyczny z generatora 1750Hz

    Głośniki (tak samo jak i wzmacniacze akustyczne) najlepiej pracują przy przebiegu sinusoidalnym... Jeszcze pytanko - czy mierzyłes częstotliwość i czy oglądałeś przebieg na wyjściu 14 CD4060 ?? Niestety nie mam takich możliwości technicznych.Jedynie przy porównaniu ze słuchu sygnał z tego generatora brzmi nieco inaczej niż sygnał z generatora wzorcowego.

    Radiotechnika Serwis   05 Maj 2009 00:06 Odpowiedzi: 10    Wyświetleń: 5460
  • Nie pracujące generatory w thereminie z EPE.

    Witam! Użyj BF245A (wstawić trzeba "odwrotnie" w porównaniu do 2N5484). Te mają zbliżone Vgs(off) do 2N5484 (musi być małe, jeśli mamy na myśli wartość bezwzględną). Wersja C i nieselekcjonowane BF-y, czyli te bez literki na końcu nie nadają się. Pozdrawiam PS Poza tym generatory w Thereminach wymagają bardzo precyzyjnego strojenia (ustawienie porównywalnych...

    Projektowanie Układów   10 Lip 2009 18:09 Odpowiedzi: 8    Wyświetleń: 2490
  • Prosty generator - stroik do gitary.

    Zła droga realizacji, taki układ nie ma szans na stabilne i powtarzalne utrzymanie częstotliwości wyjściowej np. te 329,6Hz. Częstotliwość będzie się rozjeżdżać pod wpływem zmian temperatury otoczenia. Stroiki, w amatorskich konstrukcjach, są robione w układach opartych o generator kwarcowy a częstotliwości wyjściowe uzyskuje się na drodze podziału...

    Projektowanie Układów   16 Wrz 2009 19:52 Odpowiedzi: 2    Wyświetleń: 4259
  • Budowa generatora figur Lissajous

    Tworzenie figur Lissajous polega na tym, że częstotliwość wiązki odchylającej "Y" jest "krotnością" wiązki odchylającej "X". Bardzo prosto (przy pomocy oscyloskopu i generatora wzorcowego) oblicza się częstotliwość. Stąd prosta droga do tworzenia przy pomocy wiązki laserowego światła figur na ścianie :-). Dwa płaskie zwierciadła odchylane częstotliwościami...

    Optoelektronika   13 Mar 2010 17:52 Odpowiedzi: 6    Wyświetleń: 3276
  • Co to jest? Jakiś generator?

    Urządzenie ze zdjęcia jest generatorem częstotliwości wzorcowej. Został "wypruty" z miernika częstotliwości/okresu. Wejście 5MHz służyło do kalibracji miernika lub synchronizacji z zewnętrznego źródła. Jeżeli termostat w którym powinien być umieszczony rezonator kwarcowy generatora działa poprawnie to można to urządzenie wykorzystać jako źródło stabilnej...

    Początkujący Naprawy   08 Lip 2010 05:26 Odpowiedzi: 4    Wyświetleń: 1947
  • [Kupię] Generator w. cz. 900Mhz

    Jak w temacie kupię generator wzorcowy o częstotliwości 900Mhz

    Ogłoszenia Elektronika   11 Mar 2011 13:27 Odpowiedzi: 0    Wyświetleń: 864
  • Generator w CB 10.240MHz - zrywanie drgań

    Źle liczysz. Pierwsza pcz. jest 10,695 MHz. Częstotliwości VCO dla odbiornika w piątkach powinny wynosić: 1 kanał- 16,270 MHz 20 kanał- 16,510 MHz 40 kanał- 16,710 MHz Dla zer musisz mieć wszystkie częstotliwości o 5 KHz mniej. 1 kanał- 16,2650 MHz 20 kanał- 16,5050 MHz 40 kanał- 16,7050 MHz Generalnie ustaw sobie trymerem C42 częstotliwość VCO na 20...

    CB Radio   12 Sty 2017 19:37 Odpowiedzi: 18    Wyświetleń: 2568
  • Szukam schematu do generatora TechPan WK 30

    Witam. Kiedyś zakładałem temat w innym dziale ale spróbuje tu. Mam generator kwarcowy częstotliwości wzorcowych z manufaktury TechPan model WK 30 . Niestety popsuł się. Włącza się alarm i słychać przekaźnik. Może być zasilany z sieci i podtrzymanie zasilania z akumulatora ma wejście zasilania DC. Zasilacz to czarna magia w postaci kart. Cały jest z...

    Elektronika Retro   14 Lut 2024 20:33 Odpowiedzi: 0    Wyświetleń: 129
  • Generatory termoelektryczne zyskują coraz więcej uwagi badaczy

    Generatory termoelektryczne (TEG) wykorzystują ciepło — a dokładniej różnice temperatur — oraz dobrze znany efekt Seebecka do produkowania energii elektrycznej. Ich zastosowania sięgają od pozyskiwania zasobów z dostępnego ciepła, a zwłaszcza tego 'marnowanego' w sytuacjach przemysłowych i innych, po bycie konwerterem tego pochodzącego z radioaktywnych...

    Newsy   17 Kwi 2023 07:30 Odpowiedzi: 2    Wyświetleń: 1065
  • Synchronizacja zegarów elektron. sygnałem wzorcowym "MAJAK"

    W czasopiśmie " SCHEMOTECHNIKA" Nr6 z 2005 r. str.45 /Moskwa/, znalazłem artykuł " Synchronizowanie zegarów elektronicznych sygnalem z rozgłośni krajowej "MAJAK" Autor art. IGOR NOWOSIOŁOW m. Ekateringburg Opisuje się w nim urządzenie oparte o mikroprocesor PIC12F675 .Pozwala ono zapewnić synchronizację zegarów elektronicznych dokładnymi sygnałami czasu...

    Artykuły   12 Gru 2013 20:27 Odpowiedzi: 11    Wyświetleń: 5595
  • Oscyloskop i generator - Jaki kupić do napraw sprzętu audio?

    Witam szanownych Kolegów. Proszę o pomoc w wyborze oscyloskopu do prac głównie związanych z naprawą sprzętu audio, mianowicie najczęściej ma służyć do naprawy wzmacniaczy. Moje pytanie - jakie cechy powinien posiadać w/w oscyloskop aby spełnił moje oczekiwania? Podejrzewam, że pasmo nie musi być wygórowane, i najlepiej żeby był dwukanałowy prawda? Druga...

    Warsztat co kupić?   12 Lip 2013 12:25 Odpowiedzi: 11    Wyświetleń: 5046
  • DFT sygnału audio z telefonu i generatora - różnice w reakcji układu

    Sprawdzę, jak tylko dorwę nową ATmegę, bo stara raczej padła...niemniej jednak to nie pierwszy układ, który zrobiłem liczący DFT bardzo ładnie, gdy podamy mu sinus z generatora. Działa to wtedy bardzo selektywnie, powiedziałbym wzorcowo. Jak tylko podłączę go do wyjścia słuchawkowego komórki dzieje się wielka lipa, prawie nie reaguje wcale i do tego,...

    DSP i Transmisja   06 Sty 2021 15:31 Odpowiedzi: 23    Wyświetleń: 675
  • Szukam sprawdzonego schematu generatora sinusa do generowania częstotliwości akustycznych

    Szukam sprawdzonego schematu generatora sinusa, który pozwoli na generowanie częstotliwości akustycznych Kup książkę z z częstotliwościami wzorcowymi audio na płycie CD. Do tego srmartfon (który masz) i jest generator. Nawet amplitudę możesz płynie regulować. Smartfon ma małą rezystancje wyjściową Rwy.

    Początkujący Elektronicy   16 Lis 2023 01:22 Odpowiedzi: 13    Wyświetleń: 393
  • KCzW i inne częstotliwości wzorcowe

    Dostosowałem odbiornik ADRET 4101 do odbioru KCzW (Krajowej Częstotliwości Wzorcowej), tj. 225 kHz. Rozwiązanie opisane przeze mnie w tym [url=]wątku. Porównując odfiltrowany sygnał wyjściowy 2 oscylatora 5 MHz (gniazdo "Osc. intern") z sygnałem generatora VCTXO obserwuję skoki fazy przy odbiorze KCzW. Poziom sygnału wysoki, odbiór bez zakłóceń, antena...

    Radiotechnika Początkujący   03 Lip 2009 07:44 Odpowiedzi: 3    Wyświetleń: 2449
  • Jak zrobic na procku generator sinusa trojkata i pily i ...

    Buahahahahaaaa!!!!!!!!!! :lol: "Kwiatek X - zrób dobry generator sinusa pracujący do 1MHz z 32-bitową precyzją, z odpowiednio małym THD, z możliwością modulacji AM i FM itd. na 8051..." A po co komu taka precyzja!!!!!!! Pamiętaj kolego że nawet najlepsze DSP żadko mają przetwornik C/A o rozdzielczości większej niż 20bit (o rzeczywistej dokładności około...

    Mikrokontrolery   25 Kwi 2004 17:04 Odpowiedzi: 34    Wyświetleń: 10200
  • Cyfrowy generator funkcji - EdW lipiec 2005

    Witam. W lipcu w EdW ukazał się artykuł cyfrowego generatora funkcji. Mam pytanie czy składał ktoś ten układ. Moim zdaniem jest to bardzo ciekawy projekt przydatny każdemu kto buduje wzmacniacze, korektory graficzne i inne urządzenia elektroakustyczne. Generator wytwarza następujące przebiegi: -sinusoidalny 20Hz..20kHz (przestrajane skokowo i płynnie)...

    Projektowanie Układów   14 Sie 2005 11:09 Odpowiedzi: 1    Wyświetleń: 2376
  • sprzetowy generator RGB

    widzialem CPG10 jest juz CPG12, musi byc to urzadzenie wzorcowe, ktore słyzyc ma do podania sygnału wzorcowego. Myslalem moze o urzadzeniu pronox GV-241, albo czyms podobnym. Jak jest z jakościa generowanego sygnału przez CPG12 (CPG10). Czy są to idealne plansze RGB?

    Monitory Serwis   22 Paź 2005 20:57 Odpowiedzi: 6    Wyświetleń: 3757
  • Generator w.cz.

    Witam wszystkich Mam nadzieje, że dobrze trafiłem i, że wpisany temat oddaje cel mojego postu. Otóż chodzi mi o to, że zacząłem się bawić metodami zdalnego sterowania. Ale jakoś nie bardzo mam o tym pojęcie. Czytałem na necie dość dużo i przejrzałem Elektrodę ale na nic nie trafiłem. Czy ktoś doświadczony mógłby mi pokazać jak wygląda wzorcowy i w miarę...

    Początkujący Elektronicy   06 Lip 2009 18:33 Odpowiedzi: 4    Wyświetleń: 1040
  • Generator kwarcowy Tele Quarz - Opis wyprowadzeń

    Jeżeli chodzi o kalibrację częstościomierza to twój pomysł taki sobie. W końcu jak pokazuje PDF to tego typu generatory są przestrajane napięciem nawet o 300ppm. Czyli dla 150MHz jeżeli założymy, że to wersja generatora najszerzej przestrajana, błąd w skrajnym przypadku może dochodzić nawet do 45kHz, a to nie jest tak pięknie, że dokładnie 1/2 napięcia...

    Radiotechnika Serwis   06 Wrz 2016 21:48 Odpowiedzi: 9    Wyświetleń: 2610
  • Detektor czestotliwosci, porównanie częstotliwości z wzorcową

    Potrzebuję zbudować detektor częstotliwości, a w zasadzie układ zapewniający, że jeśli jakaś częstotliwość jest tak sama jak wzorcowa, to na wyjściu ma się pojawić 1, a jak nie, to 0. Na schemacie zbudowałem 3 generatory: 2 o takiej samej częstotliwości i jednej innej, potrzebuję jakiegoś naprowadzenia, jak to można porównać, albo informacji, czy się...

    Nauka Elektroniki, Teoria i Laborki   09 Wrz 2016 09:19 Odpowiedzi: 2    Wyświetleń: 1419
  • Prosty generator funkcyjny ICL8038 kit

    Każdemu chyba elektronikowi przydarzyła się potrzeba wygenerowania sygnału wzorcowego o określonym kształcie i częstotliwości. Od lat istnieją specjalnej budowy generatory pozwalające uzyskać najbardziej typowe przebiegi tj. sinus, trójkąt oraz prostokąt, rozwój techniki cyfrowej oraz spadek cen syntezerów w technologii DDS (Direct Digital Synthesis-...

    Artykuły   30 Gru 2021 11:28 Odpowiedzi: 7    Wyświetleń: 2334
  • Czy dany układ z diodami jest właściwy? Modulator generatora 10 MHz.

    Dziwią mnie tylko publikacje takie jak na obrazkach. Generatory owszem pracują, ale o modulacji nie ma mowy (ściema z dawnych lat ?). Niekoniecznie ściema. Ten, który wałkowałeś w poprzednim wątku, nie obiecywał modulacji FM i jego głównym zadaniem było dostarczenie bogatego w harmoniczne sygnału wzorcowego z opcjonalną modulacją AM. Ten z przeciąganym...

    Początkujący Elektronicy   20 Maj 2022 13:46 Odpowiedzi: 18    Wyświetleń: 525
  • Kwarcowe rezonatory i generatory zegarowe - kompendium

    Należało by wspomnieć że generatory do kwarców zegarkowych (kamertonowych) znacząco różnią się od pozostałych, rezystancja R1 na schemacie zastępczym jest dużo wyższa, taki kwarc nie wzbudzi niewaściwym generatorze. W pracującym generatorze kwarcowym podłączenie sondy oscyloskopowej lub miernika częstotliwości wprost do nóżki kwarcu może spowodować...

    Poradniki Elektronika   16 Paź 2022 18:05 Odpowiedzi: 45    Wyświetleń: 4272
  • Generator 1Mhz

    Jeśli potrzebny Ci jest super dokładny pomiar to: 1. musisz gdzieś zdobyć generator GWM-5-1 5MHZ produkcji OMIG-u lub 2. pomiar częstotliwości programu I polskiego radia 225kHz - częstotliwość wzorcowa w Polsce lub 3. pomiar częstotliwości nadajnika czasu w Niemczech do synchronizacji zegarów. Można jeszcze odbierać inne radiowe wzorce częstotliwości,...

    Warsztat elektronika   29 Cze 2004 15:44 Odpowiedzi: 10    Wyświetleń: 4032
  • częstotliwości wzorcowe

    Osobiście mnie ta strona nie przekonuje - to strona firmy, która sprzedaje sprzęt i to, że napisali tam o wzorcu wtórnym to mnie nie rusza. Gdybym przeczytał coś podobnego na stronie GUMu, IŁu, PRu, itp. to co innego. W pewnym sensie to JEST strona IŁ'u... No, może odrobinę naginam fakty, ale w każdym razie, nie jest to jakaś firemka, która nie wiadomo...

    Radiotechnika Serwis   05 Wrz 2009 11:45 Odpowiedzi: 16    Wyświetleń: 7852
  • Podwójny generator przebiegu prostokątnego 3-20000Hz

    Wykonałem obudowę oraz urządzenie z tego, co miałem. Gdybym miał kupować elementy, byłoby inaczej, ale drożej. Takie elementy posiadałem i tyle! Chciałem mieć generator sygnału prostokątnego, to mam, i powtarzam: sygnał deformuje oscyloskop (układ wejściowy w naprawie, suche kondensatory i nie wiem co jeszcze. Deformowane są wszystkie sygnały, nawet...

    DIY Konstrukcje   13 Lut 2008 14:25 Odpowiedzi: 27    Wyświetleń: 9344
  • Generator 1Hz do zegara nixie

    Wykonałem zegar nixie na licznikach CMOS, który jest synchronizowany z 50Hz z sieci 230V. Niestety zegar ma tendencję do spieszenia się jakieś 10-15s na dobę. Chciałbym więc dorobić do niego wzorcowy generator 1Hz, by to uchybienie maksymalnie zmniejszyć. W związku z tym poszukuje schematu a jeszcze lepiej płytki drukowanej do takiego generatora, najlepiej...

    Projektowanie Układów   27 Lut 2020 02:57 Odpowiedzi: 12    Wyświetleń: 8076
  • Karta muzyczna jako generator akustyczny

    Z zakresami <10Hz moze byc problem, sygnal filtry jeszcze powinny puscic, ale wzorcowym to bym go wtedy nie nazwal ;) No i napewno nie podlaczysz do niego glosnika bezposrednio bo zaraz upalisz karte. Jeśli chodzi o program winlSD to w nim zakres częstotliwości zaczyna się od 10Hz, następnie 100Hz, i dalej wzrasta co 100Hz. Czy on nada się na amatorski...

    Komputery Modding & OC   14 Maj 2009 17:05 Odpowiedzi: 5    Wyświetleń: 4420
  • Generator kompleksowego sygnału stereofonicznego MSG-211G-1

    Witam. Przyrząd ten służy do badania i strojenia dekoderów stereo zastosowanych w tunerach radiowych oraz odbiornikach stereo. Pozwala na zestrojenie obwodów pilota oraz pomiar przesłuchów między kanałami. Podając sygnał MPX na generator sygnałowy można także sprawdzić - zestroić poprzez tor w.cz . tunera lub wysterowć nadajnik UKF ( sygnały wzorcowe...

    Warsztat elektronika   16 Sty 2011 19:45 Odpowiedzi: 3    Wyświetleń: 2082
  • Jaki wzmacniacz do generatora Hiacynt-M ?

    Witam, Poszukuję sprawdzonego układu wzmacniacza i ewentualnie powielacza częstotliwości x2 do radzieckiego generatora częstotliwości wzorcowej 5 MHz typu Hiacynt-M. Najprostszy wzmacniacz do częstościomierza da radę. Podwajacz:

    Radiotechnika Początkujący   11 Lut 2011 11:54 Odpowiedzi: 7    Wyświetleń: 2758
  • Jaki najtańszy częstościomierz - pomiar 32768Hz przy budowie generatora

    Podczas pierwszego uruchomienia niezbędne jest ustawienie częstotliwości pracy generatora, która powinna wynośić dokładnie 32768Hz. Jako, że nie posiadam w domu częstościomierza, gdyż zaczynam dopiero przygodę z elektronika już na starcie jetem w kropce. Jeżeli masz ten kit uruchomić i ma pracować poprawnie, to niestety powinieneś skorzystać z licznika...

    Warsztat co kupić?   16 Lut 2012 17:09 Odpowiedzi: 33    Wyświetleń: 9332
  • [Zlecę] generator około 12 Mhz

    Moja propozycja. Kupić a jeszcze lepiej pożyczyć profesjonalny generator w.cz. o stabilnym wewnętrznym wzorcu częstotliwości. Gdyby mimo to stabilność częstotliwości okazałaby się za mała, można by pomyśleć o dołączanym zewnętrznym generatorze synchronizowanym sygnałem radiowym jednej ze stacji emitujących sygnał częstotliwości wzorcowej. Następnie...

    Projektowanie Bazar   20 Lis 2012 12:31 Odpowiedzi: 8    Wyświetleń: 2562
  • Do czego służy generator podstawy czasu ?

    Korekta: 1. oscyloskop elektronowy. 2. generator podstawy czasu jest źródłem napięcia odchylającego X. Ekran jest pamięcią śladu elektronów odchylanych napięciem Y(badanym) i X(wzorcowym). Wzorzec skalowany jest w działkach na czas np. 1/s.

    Początkujący Elektronicy   21 Mar 2013 21:47 Odpowiedzi: 4    Wyświetleń: 6897
  • Pomiar częstotliwości sygnału z generatora

    Generatory na układach przez Ciebie wymienionych działają na zasadzie - obliczenia ile okresów częstotliwości wzorcowej zmieści się w jednym okresie częstotliwości mierzonej.Zapamiętanie tej wielkości i wyświetlenie jej na wyświetlaczu.

    Początkujący Elektronicy   24 Kwi 2015 06:16 Odpowiedzi: 2    Wyświetleń: 669
  • Mini Generator Funcyjny PE 12/99 - Poprawiony

    ICL8038 To był kiedyś rarytas teraz wzmacniaczy operacyjnych w niskiej cenie i bardzo dobrych parametrach jest dużo i często nie jest problemem sam układ tylko jego chiński odpowiednik. Często poza tą samą nazwą nie ma nic więcej wspólnego z wzorcowym opracowaniem a co w środku nie śmiem zgadywać ;) . Zauważyłem że czasem wymiana tego układu na oryginalny...

    DIY Konstrukcje   19 Mar 2019 16:43 Odpowiedzi: 49    Wyświetleń: 11130
  • Generator do przestrajania OIRT na CCIR

    Witam. Po przestrojeniu paru tunerów, odbiorników radiowych doszedłem do wniosku że brakuje mi generatora który nadawał by skrajne częstotliwości w pasmie OIRT i CCIR. Przestrajam metodą na słuch po znanych stacjach ale kręcenie gałką w tunerze wzorcowym robi się męczące W związku z tym czy ktoś posiada jakiś w miarę prosty schemat najlepiej na starych...

    Początkujący Elektronicy   24 Cze 2017 11:51 Odpowiedzi: 14    Wyświetleń: 5316
  • GENERATOR SIGLENT SDG1025 FAIL. Nieprawidłowe działanie.

    Da się takie dokładności uzyskać w analogowym generatorze. A tak wygląda w bardzo starym generatorze z syntezą, podczas zmiany 1kHz o 1Hz pojawia się przerwa w sygnale 0V i parę pasożytniczych sygnałów sinusoidalnych, zanim złapie pętla. Z syntezą się da, ale to już nie jest taki 100% analog, kawałek cyfrówki tam jest. Miałem na myśli "klasyczne" analogowe...

    Warsztat elektronika   13 Sie 2017 09:42 Odpowiedzi: 29    Wyświetleń: 2532
  • Generator sygnału ICL8038 oraz licznik - nie do końca działa poprawnie (AVT823)

    Witam. W poprzednim temacie borykałem się z problemem którym było zasilanie generatora funkcyjnego. A im dalej w las, tym robi się ciężej. Przejdę do sedna. Sam generator zadziałał. Ale nie zadowala mnie w 100% sygnał jaki daje, niestety nie mam pomysłu w jaki sposób go wyregulować, a oto wyniki na oscyloskopie (niestety, nie wszystkie zdjęcia które...

    Początkujący Elektronicy   13 Sty 2018 15:32 Odpowiedzi: 3    Wyświetleń: 801
  • Wybór źródła sygnału wzorcowego 10MHz.

    Zastosowanie jest jasne... sygnał 10MHz jako sygnał referencyjny dla sprzętu pomiarowego (oscyloskopy, mierniki częstotliwości, generatory arbitralne itd.). ) Wszystko zależy od tego, do jakich zastosowań ma być ten sprzęt. Inna stabilność jest wymagana do przestrajania odbiorników radiofonicznych a inna do budowy filtrów ceramicznych i budowy oscylatorów....

    Warsztat co kupić?   26 Lip 2018 08:16 Odpowiedzi: 19    Wyświetleń: 1893
  • Wspólny projekt generatora DDS na elektroda.pl

    Ważne aby zbadać jakie są oczekiwania co do takiego generatora DDS i spróbować je wspólnie spełnić. Spróbujmy dostarczyć do sklepiku elektroda.pl coś "eleganckiego". Ja chętnie bym przytulił coś niewielkiego, zasilanego bateryjnie lub z li-ion, generacja sinus, trójkąt, prostokąt z zadanym wypełnieniem, może przemiatanie częstotliwości ze stałą amplitudą...

    DIY w budowie   31 Paź 2019 10:08 Odpowiedzi: 175    Wyświetleń: 16185
  • C1-94: Stojący obraz po czyszczeniu - usterka generatora podstawy czasu?

    Witam, mam "mały" problem z C1-94. Przed zakupem sprawdzałem jego działanie i wszystko było bez zarzutu, nie spieszyłem się z testowaniem żeby urządzenie dłużej pracowało. Jak tylko wróciłem do domu przetarłem odrobinę kurzu z obudowy włączyłem zasilanie i... obraz stoi jak na złączonym zdjęciu. Zmiany zakresów zarówno czasu jak i napięcia powodują...

    Warsztat elektronika   15 Lip 2019 19:37 Odpowiedzi: 6    Wyświetleń: 729
  • Wnętrze generatora FY6900-60 MHz, czyli rozpoznanie przed modyfikacją

    Ostatnimi czasy mamy dostęp do różnej aparatury pomiarowej w nowoczesnym wydaniu w przystępnych cenach, gdzie jeszcze kilkanaście lat temu trzeba było dysponować pokaźnym zapleczem finansowym na zakup. Dla amatora zakup chociażby generatora arbitralnego był poza zasięgiem finansowym. Choć wiele ciekawych i przydatnych urządzeń pomiarowych można kupić...

    Wnętrza urządzeń   30 Lis 2023 11:07 Odpowiedzi: 107    Wyświetleń: 9738
  • Szukam schematu generatora/oscylatora czystego sinusa 1Hz, układ LC, kubki ferrytowe Al=6300, 8600.

    No cóż, cała dyskusja jasno(?) wskazuje, że próby rozwiązania tego problemu drogą analogową są bardzo trudne, jeśli nie niemożliwe. Przede wszystkim nie było podanych konkretnych wymagań, które powinny się pojawić na początku, wszystkie tematy w których tak jest, opierają się na subiektywnej ocenie autora. Ta subiektywna ocena może wyżej cenić "kopnięty"...

    Początkujący Elektronicy   27 Lis 2023 09:43 Odpowiedzi: 50    Wyświetleń: 867
  • Możliwość wstawienia innego generatora WN w DT5200

    Witam, skoro nie masz czym pomierzyć wartości powielonego napięcia - np. V-640 + sonda W.N. - to lepiej nie zabierać się za naprawę ... Jednak można łatwo sprawdzić czy usterka jest po stronie przetwornicy z transformatorem, czy też po stronie powielaczy (mój sprawdzony patent). W tym celu należy wymusić (przy wyłączonym zasilaniu naprawianego oscyloskopu)...

    Warsztat elektronika   15 Wrz 2009 12:04 Odpowiedzi: 20    Wyświetleń: 3623
  • Tester cewek zapłonowych/Generator WN do lampy plazmowej

    Pomysł bardzo dobry dla klasycznych cewek samochodowych, których niestety coraz mniej się spotyka, Taki przyrząd nie daje jednoznacznej odpowiedzi czy cewka jest dobra w układach zespolonego zapłonu, gdzie defektowi ulega zaimplementowana w cewce elektronika. Takim układem jest na przykład Bosch Motronik ME 7.4.4. Wyprowadzenie cewki nr 3 w tym systemie...

    DIY Konstrukcje   22 Lut 2010 23:43 Odpowiedzi: 26    Wyświetleń: 17872
  • Plytka Generator 1Mhz RF Power.Uszkadza się mosfet 60A/1000V.

    Witam. Mam płytkę emitującą fale radiową 1Mhz do odmładzania skóry :D . Uszkadza się w niej natychmiast po włączeniu tranzystor T1. czy ktoś z kolegów może "rzucić" okiem na odręczny schemat (przepraszam za jakość). Tranzystor T1 ulega przebiciu na wszystkich złączach przez co spaleniu ulega rezystor 24Ω zaznaczony kółkiem. Sprawdziłem już wszystko...

    Inne Serwis   30 Paź 2011 11:38 Odpowiedzi: 2    Wyświetleń: 1379
  • Generator funkcji na XR2206

    Autor konstrukcji nie podał nigdzie informacji na temat częstotliwości, przy jakiej generowany jest sinus na zdjęciu. Faktycznie muszę przyznać, że ten pokazany na zdjęciu wzorcowym nie wygląda najlepiej, jednak ten na ostatnim zdjęciu widoczny na ekranie oscyloskopu wygląda nieco lepiej. Pozdrawiam!

    DIY Zagranica   02 Mar 2013 16:06 Odpowiedzi: 7    Wyświetleń: 15702
  • Generator funkcyjny na ICL8038

    Popieram, tym bardziej że miałem własne doświadczenia pokazujące reakcję tego układu na niestabilnie ułożone przewody. To jest w jakimś sensie układ wzorcowy, więc powinien być wykonany stabilnie i dokładnie, w przeciwnym wypadku jego sygnał nie będzie nam przynosił pewnej informacji o badanym układzie.

    DIY Konstrukcje   23 Mar 2013 21:27 Odpowiedzi: 17    Wyświetleń: 19776
  • Generator kwarcowy stabilizowany temperaturowo

    Kiedyś znalazłem schemat generatora wzorcowego sterowanego częstotliwością fali nośnej stacji radiowej. Sygnał wzmocniony i obcięty do prostokąta. O stabilność dba nadawca. Układ służył do kalibracji chodu zegarków. Ale ten temat jest już nieaktualny od ponad 10 lat -- kiedyś nośna PR I była stabilizowana ale dziś jest to raczej kiepski wzorzec. To...

    Warsztat elektronika   21 Wrz 2013 23:40 Odpowiedzi: 20    Wyświetleń: 4933
  • Generator funkcyjny 400kHz na ICL8038

    Wykonałem ten układ (ze schematu Eagle w załączniku). Generalnie działa, nie mam prostokąta (już na wyjściu z ICL). Według wyników mojej zabawy na płytce stykowej, jeśli nie obciążymy rezystorem nogi (prostokąt - pierwszy - to mocno powiększony przebieg zakłóceń) natomiast kiedy połączę wyjście Popatrzyłem więc na Twoją płytkę i widzę kilka elementów...

    DIY Konstrukcje   04 Paź 2020 16:53 Odpowiedzi: 58    Wyświetleń: 36810
  • Generator srebra koloidalnego

    Dla poprawy efekt FARADAY’A-TYNDALLA. Do ilościowego oznaczania substancji na podstawie pomiaru stopnia zmętnienia roztworu, zawierającego drobną zawiesinę trudno rozpuszczalnego związku oznaczanej substancji. Stopień zmętnienia określa się przez porównanie zmętnień zawiesiny substancji oznaczanej i roztworów wzorcowych metodą wizualną w cylindrach...

    Na pograniczu nauki   29 Maj 2021 03:19 Odpowiedzi: 161    Wyświetleń: 49134
  • AVR - Generator DDS i PWM?

    I obiecany projekt na STM32F429 Discovery, może nie jest wzorcowy, bo pisany nieco na kolanie i w obliczu walki z nieco skopanym HAL-em, ale działa ;) 777838

    Mikrokontrolery   03 Wrz 2016 15:02 Odpowiedzi: 21    Wyświetleń: 1329
  • [C++] Dokładny generator prostąkątny 30kHz do 45kHz Arduino

    No ale mierzę tym oscyloskopem wszystko i zresztą na drugim filmie mam przebieg wzorcowy który muszę uzyskać z oryginalnego sterownika. A tylko na uC jest taka kicha. Spotkałeś się z czymś takim, czy to tylko hipoteza? Wygląda na to że mój problem właściwie nie istnieje.... :]

    Mikrokontrolery Początkujący   03 Wrz 2017 00:09 Odpowiedzi: 16    Wyświetleń: 3612
  • Generator kwarcowy z termostabilizacją

    Ale po co chcesz budować kolejną puszkę skoro masz gotowca w postaci GWM-5-1. Nie musisz szukać nikogo ze wzorcowym przyrządem prócz nabycia sobie odbiornika GPS FGPMMOPA6C. Jest to maleńki moduł ~2x2cm, kupisz za kilkadziesiąt zł i masz wzorzec-impuls 1sek. do kalibracji o dokładności nie gorszej niż 10ns. Temat wykorzystania tego odbiornika jako wzorzec...

    Warsztat elektronika   08 Wrz 2017 11:54 Odpowiedzi: 32    Wyświetleń: 4335
  • Generator zakłóceń (szumów) sygnału wzocowego

    Witam! W najbliższych tygodniach muszę zrobić generator zakłóceń. Rolą owego generatora ma być zakłócanie (szum) sygnału wzorcowego czyli: Wprowadzamy czystego sinusa do układu, następnie dodajemy do niego zakłócenia. Zakłócenia te powinny być podobne do zakłóceń sygnału przy pomiarze EKG (czyli głównie zakłócenia sieciowe o niskich częstotliwościach...

    Projektowanie Układów   17 Paź 2017 17:56 Odpowiedzi: 1    Wyświetleń: 645
  • Generator funkcyjny DDS - prosty sposób na polepszenie jakości sygnału

    Co do rezystorów 5% nie mam pojęcia jakie zniekształcenia mogą wprowadzać, zostało to podniesione w poprzednim temacie, dlatego o tym wspomniałem. Nieliniowość. Jak to policzyć? Kalkulatorem :-) Na poważnie,. 1% to przetwornik powiedzmy (naciągane) 7-bit, w praktyce 6. Nie bawiąc się w ceregiele, dokładne liczenie itp 7-bit 128 poziomów czyli trochę...

    Artykuły   26 Gru 2019 18:16 Odpowiedzi: 22    Wyświetleń: 10299
  • Zadajnik prądu, 4-20mA Generator Sygnału - Test / Recenzja / Opis

    1. Czyli wpina się go po prostu szeregowo w badany obwód i nie potrzeba go dodatkowo zasilać? Tak. 2. Czy ważna jest polaryzacja, plus/minus do którego złącza zadajnika? Dodałem informacje w pierwszym poście: Jeszcze jedna istotna informacja, Chińczyk, w opisie aukcji umieścił taka informację: "ZABEZPIECZENIE POŁĄCZENIA ODWROTNEGO: Nasze digital signal...

    Artykuły   24 Sty 2019 21:29 Odpowiedzi: 46    Wyświetleń: 10059
  • Instalacja generatora PV: Prowadzenie kabli DC w ziemi i ograniczniki przepięć

    Ja zaryzykowałem i zakopałem 70 m kabla YKY5x10, z tym że mam połączone po dwie żyły równolegle, przewód PE łączy mi uziom przy wiacie z panelami z listwą wyrównawczą w domu. Wyliczone straty napięcia były do zaakceptowania, jak jest w praktyce nie wiem, bo nie mam pomysłu jak to zmierzyć dysponując tylko amatorskim miernikiem. Zabezpieczone mam to...

    Energia Odnawialna   11 Kwi 2023 11:17 Odpowiedzi: 10    Wyświetleń: 8961
  • Korekta częstotliwości generatora kwarcowego TCXO-3 w częstotliwościomierzu Meratronik C556

    Niestety regulacja częstotliwości wbudowanym regulatorem, nie pozwoliła na ustawienie dokładnej częstotliwości. Odchyłka przy 10 MHz wynosi plus 43 Hz. Co można zrobić w tej sytuacji? 1. Włączyć urządzenie na 5 dni i wtedy sprawdzić odchyłkę i próbować skorygować. 2. Do korygowania używać wzorcowego źródła częstotliwości. Czym to mierzysz, że wiesz...

    Warsztat elektronika   16 Sty 2024 19:48 Odpowiedzi: 19    Wyświetleń: 639
  • sygnał wzorcowy 100 kHZ - jak zbudować generator?

    Można by z odbiornika długofalowego dostrojonego do Programu 1 Polskiego Radia wyciągnąć sygnał fali nośnej, wzmocnić go, ograniczyć by zdjąć z niego modulację, podzielić dzielnikiem np. przez 5 i masz wzorcowe 45 kHz z dokładnością wzorca atomowego. Inna metoda to zrobić generator kwarcowy na układzie 74HC4060 (w karcie katalogowej jest schemat) i...

    Początkujący Elektronicy   31 Maj 2006 21:00 Odpowiedzi: 3    Wyświetleń: 1472
  • Kondensator zmienny walcowy różnicowy i pomiar przemieszczenia

    Mam więc pytanie jak często będzie można dokonywać analizy programowo? Ile razy na sekunde? Normalnie pojemność mierzy się konstruując na niej generator. Dla małych pojemności zlicza się liczbę impulsów z generatora, dla dużych mierzy się okres bramkując nim impulsy generatora wzorcowego. W tym wypadku najkorzystniej byłoby skonstruować generator,...

    Początkujący Elektronicy   05 Gru 2012 23:33 Odpowiedzi: 57    Wyświetleń: 10578
  • Otwieranie bramy z pętli indukcyjnej na Atmega 8

    Może najpierw wyjaśnijmy sobie jak działa wykrywacz metalu. Otóż w takim wykrywaczu są 2 generatory LC. Jeden z nich jest generatorem wiodącym, przestrajanym ręcznie tzn. strojona jest jego czestotliwość, a drugi generator jest generatorem pomiarowym, w którego części rezonansowej LC jako L (cewka indukcyjna) wpięta jest pętla. Po zbliżeniu się tej...

    Początkujący Naprawy   11 Paź 2010 20:42 Odpowiedzi: 3    Wyświetleń: 3788
  • Zadania na egzamin - proszę o odpowiedzi

    To co udało mi się znaleść i sie dowiedzieć: 1. POJEDYNCZY REZONATOR KWARCOWY NIE MOŻE BYĆ STOSOWANY JAKO FILTR SSB ponieważ sygnał 1wstęgowy ma wiele częstotliwości. Może być stosowany tylko do filtracji pojedynczego sygnału, bo ch-ka jest asymetryczna i różnice w paśmie indukcyjnym i pojemnościowym. - niesymetryczna ch-ka w paśmie przenoszenia przez...

    Początkujący Elektronicy   15 Sty 2008 12:35 Odpowiedzi: 2    Wyświetleń: 2276
  • Przetwornik pojemność czestotliwość / czas

    W miernikach używana jest też metoda, przepuszczania sygnału z generatora sinusoidalnego przez wzmacniacz odwracający gdzie zamiast rezystora wejciowego jest mierzony kondensator: Amplituda napięcia wyjściowego wynosi |Uwy|=|-Uwe*\frac{Rz}{Zc}|=|Uwe*2*\Pi*f*... W miernikach używa się np. częstotliwości 398Hz co daje, że iloczyn...

    Początkujący Naprawy   28 Gru 2009 07:35 Odpowiedzi: 13    Wyświetleń: 2007
  • Miernik labolatoryjny UNIT UT-803 - pomiar częstotliwości

    Miernik uniwersalny nie wystarcza. Chyba najszybciej zestraja się generatory kwarcowe za pomocą oscyloskopów. Na wejście X dajesz sygnał z generatora wzorcowego, a na Y sygnał mierzony. Inny sposób: przełączasz oscyloskop na synchronizację zewnęrzną, na wejście synchro dajesz sygnał z generatora wzorcowego, a na wejście sygnał z kalibrowanego generatora.

    Warsztat elektronika   17 Maj 2007 16:42 Odpowiedzi: 1    Wyświetleń: 2337
  • Colonel FR360- dorobienie 0/5

    Kwarc X5 dało się przeciągnąć i uzyskać zera, ale dość niestabilnie, cz. pływała wraz ze zmianą kanałów, co do przeciągania kwarcu od AM to nie wykluczam, iż może coś źle robię i mierze, ale sprawdziłem radio z kolegą który w swoim urządzeniu ma analizator widma sygnału, dostroił się do mnie, zawęził filtrami i zrobiłem eksperyment, na jego modulacji...

    CB Radio   20 Lut 2013 15:03 Odpowiedzi: 22    Wyświetleń: 5970
  • Czy uda się zastąpić elektrolity polimerami w oscyloskopie C1-118A?

    WN bym nie ruszał, bo i tak regulujesz je "na oko", jak będzie z nim coś bardzo nie tak to to zobaczysz. Resztę napięć jak najbardziej wyreguluj, zgodnie z serwisówką. Kalibrację, albo raczej regulację, też bym zrobił, ale sam, porównując z jakiś współczesnym oscyloskopem. Tłumiki to nawet na DC możesz sobie wyregulować, a podstawę czasu z jakimś generatorkiem...

    Warsztat elektronika   05 Lis 2020 23:00 Odpowiedzi: 30    Wyświetleń: 2046
  • Pytanie do użytkowników oscyloskopu C1-99 - problem z synch

    W tym oscyloskopie synchronizacja mierzonego sygnału jest bardzo rozbudowana. Jeżeli nie zachodzi podejrzenie występowania usterki w tym module to przyczyną braku lub niedostatecznej synchronizacji jest nieumiejętne ustawienie poszczególnych przełączników. Można to oczywiście sprawdzić na przebiegu prostokątnym z wewnętrznego generatora wzorcowego....

    Warsztat elektronika   13 Mar 2006 20:36 Odpowiedzi: 4    Wyświetleń: 1457
  • Precyzyjne przerwanie 1 kHz

    (at)atom: Nie mam dostępu do oscyloskopu aby wyeliminować stopę błędów tego analizatora. Mam na myśli stabilność kwarcu na przestrzeni np. 5 minut, kiedyś pamiętam taki kwarc mierzyłem na oscyloskopie i jego wartości po przecinku zmieniały się dość znacząco. Też jak atom1477 uważam, że masz inny problem niż generator. Na przestrzeni 5 minut kwarc o...

    Mikrokontrolery AVR   26 Cze 2016 16:44 Odpowiedzi: 32    Wyświetleń: 2727
  • Schemat miernika częstotliwości TTL

    Miałem chwilę czasu i wymyśliłem sterowanie wyświetlaczem. Generalnie Generator wzorcowy napędza bramkowanie, pompę ładunkową do zasilania wyświetlacza i daje taktowanie do multipleksowania wyświetlacza. Nie wiem czy jest to dobry pomysł ale wydaje się, że zredukuje ilość "śmieci". Żarzenie VFD rozbiłem dwoma rezystorami tak aby ustalić właściwy prąd...

    Radiotechnika Początkujący   04 Mar 2018 19:23 Odpowiedzi: 10    Wyświetleń: 3657
  • Kalibracja wzorca częstotliwości GWM-5-1

    Nie podpowiem Ci na temat takiego sposobu kalibracji. GWM-5 jest tak dokładny że ciężko mieć coś co ma lepszą dokładność niż on sam. Podstawą kalibracji jest posiadanie przyrządu o klasę wyższego niż obekt który chcemy skalibrować. Możesz spróbować skalibrować go innym ( pewnym ) GWM-5 lub w GUMIE. Jako ciekawostkę zamieszczam zdjęcie KZ2026A ( wewnątrz...

    Warsztat elektronika   19 Mar 2010 20:20 Odpowiedzi: 4    Wyświetleń: 3219