REKLAMA

interfejs symulatora

Znaleziono około 198 wyników dla: interfejs symulatora
  • Niedziałający symulator E-sky

    Mam samolot i aparaturę, lecz nie ma ona możliwości podłączenia interfejsu.

    Robotyka Modelarstwo i CNC   23 Kwi 2012 16:09 Odpowiedzi: 11    Wyświetleń: 1665
  • REKLAMA
  • Prosty symulator pamięci EPROM

    Obecnie mikrokontrolery posiadają wbudowane pamięci FLASH, które można programować za pomocą interfejsu ISP. Jest to zarówno szybkie, jak i wygodne rozwiązanie. Programowanie okładu odbywa się bowiem bez jego wyciągania z podstawki. Zresztą w tej chwili układów DIP jest coraz mniej, więc interfejs ten jest tym bardziej przydatny. Ponadto współczesny...

    DIY Konstrukcje   29 Kwi 2018 09:54 Odpowiedzi: 20    Wyświetleń: 11991
  • Pytania dotyczące "sztuki elektroniki" i symulator

    Workbench jest prościutki i w dlatego dobry, choć sam używam multisim. Jest jeszcze protel, ale najpierw polecam coś prostszego. Ściągnij workbench w wersji 5, tam jest bardzo prosty interfejs. Po prawej masz włącznik klikasz i działa pamiętaj o podłączeniu masy :)

    Początkujący Naprawy   14 Mar 2010 20:24 Odpowiedzi: 11    Wyświetleń: 5689
  • Interfejs USB dla modelarza

    Witam Ma ktoś moze pomysła i schemat jak to jest wykonane jestem modelarzem i posiadam aparaturke i kabelek do innego symulatora podłączany pod COM. Jeden z symulatorów który posiadam wymaga urządzeni apodłączonego POD USB. Latanie na joystiku jest znacznie ine niz na aparaturze którą używa się na co dzień. Moze ktoą się spotkał już z podobnym urzadzeniem...

    Projektowanie Układów   16 Gru 2005 20:10 Odpowiedzi: 0    Wyświetleń: 681
  • Jak zrobić symulator obrotów silnika

    Najprościej to chyba symulować hallotron w klasycznym aparacie, generuje on przebieg prostokątny o częstotliwości zależnej od obrotów; i tu wystarczy zwykły generatorek nawet na 555. Z układami opartymi o kolo foniczne już tak kolorowo nie jest, co prawda generują sinus, ale jak wiadome w pewnym odcinku zmienia ona częstotliwość. Kiedyś się bawiłem...

    Samochody Elektryka i elektronika   10 Kwi 2010 18:29 Odpowiedzi: 8    Wyświetleń: 3440
  • REKLAMA
  • symulator rc jaki wybrac

    Ostatnio na trafiłem na DIY Joystick dla FMS

    Software serwis   18 Lip 2007 18:58 Odpowiedzi: 3    Wyświetleń: 6656
  • Nowy symulator układów mocy SiC MPLAB umożliwia testowanie elementów SiC Microchipa

    Energoelektronika szybko rozwija się na rynku w wielu dziedzinach życia codziennego. Urządzenia danego rodzaju są coraz częściej wykonywane z wykorzystaniem półprzewodników, takich jak węglik krzemu (SiC), ze względu na jego wybitne osiągi tego typu materiału pod względem szybkości, wydajności i odporności na wysokie temperatury. Projektanci sekcji...

    Newsy   17 Kwi 2023 20:23 Odpowiedzi: 0    Wyświetleń: 450
  • Szukam dobrego symulatora układów elektronicznych

    Protel symulator ma oparty na jądrze Pspice-a niezupelnie, Protel i PSpice opiera sie o symulator opracowany w Berkeley na poczatku lat siedemdziesiatych symulator SPICE. PSpice jest rozwinieciem SPICE. Podobnie symulator Protela - SPICE 3f5 - kompatybilny z XSPICE jest komercyjnym rozwinieciem pierwotnego. PSpice to cale oprogramowanie firmy DesignLab...

    Początkujący Elektronicy   25 Wrz 2009 10:35 Odpowiedzi: 26    Wyświetleń: 55414
  • REKLAMA
  • Debugger vs Symulator, MPLAB SIM.

    as u wish A debugger is a computer program that is used to test and debug other programs. The code to be examined might alternatively be running on an instruction set simulator (ISS) , a technique that allows great power in its ability to halt when specific conditions are encountered but which will typically be much slower than executing the code directly...

    Mikrokontrolery   16 Cze 2008 11:06 Odpowiedzi: 7    Wyświetleń: 1765
  • Symulator wyświetlacza alfanumerycznego HD44780

    Witam. Jest to symulator wyświetlacza alfanumerycznego 16*2 opartego na popularnym sterowniku HD44780. Symulator napisany został w JavaScript, a interfejs jest w formie strony internetowej. Inspiracją do stworzenia tego symulatora był istniejący symulator [url=]DjLCDSIM oraz chęć zaliczenia projektu :) Symulator oferuje wszystkie możliwości sterownika...

    Artykuły   23 Kwi 2011 10:10 Odpowiedzi: 0    Wyświetleń: 6148
  • Symulator pracy serca Dr. David Keeling

    Dr. David Keeling z School of Mechanical Engineering na Uniwersytecie w Leeds postawił sobie bardzo zaawansowany problem - konstrukcja symulatora pracy serca. Urządzenie takie, pozwalające na realistyczne odwzorowywanie budowy i pracy serca pozwoli na poprawienie metodologii testowania urządzeń wspomagających pracę serca, które są aktualnie projektowane....

    DIY Zagranica   18 Wrz 2012 09:10 Odpowiedzi: 0    Wyświetleń: 5277
  • Izolowany galwanicznie interfejs jednokierunkowy open-drain 10 Mb/s

    Uwaga! Przedstawione przez autora urządzenie nie spełnia wymogów standardu I²C (IIC) co zostało wyjaśnione w dalszej części dyskusji w szczególności od tego postu: Dlatego też decyzją grona moderatorów zmieniono tytuł na "Izolowany galwanicznie interfejs jednokierunkowy open-drain 10 Mb/s o teoretycznym zasięgu 1200 m" Izolowany galwanicznie interfejs...

    DIY Konstrukcje   30 Sie 2017 09:55 Odpowiedzi: 92    Wyświetleń: 14499
  • QSPICE: Nowy symulator układów elektronicznych - część 1

    Ten artykuł rozpoczyna serię samouczków dotyczących nowego oprogramowania — QSPICE. Jest ono dedykowane, jak łatwo się domyślić, do symulacji obwodów elektronicznych. Dzięki teoretycznym i praktycznym przykładom zawartym w kolejnych materiałach tego typu będziecie mogli zdobyć wiedzę na temat podstaw symulacji obwodów elektronicznych oraz tego, jak...

    Artykuły   03 Paź 2023 09:46 Odpowiedzi: 2    Wyświetleń: 2355
  • REKLAMA
  • Symulator kominka na Raspberry Pi

    Autor poniższego projektu żyje w Teksasie - przez znaczą większość roku temperatura powietrza przekracza tam 35°C, więc klasyczny kominek w domu zupełnie by się nie sprawdzał. Mimo tego autor chciał mieć w swoim domu coś tego rodzaju, co produkuje miły efekt wizualny - kominek sprawia ładne wrażenie i zawsze dobrze wygląda. Pewnego dnia, siedząc w...

    DIY Zagranica   11 Sty 2017 12:00 Odpowiedzi: 8    Wyświetleń: 14988
  • PSPLC - Symulator procesu (Siemens) PLC

    Dzień dobry. Nazywam się Mariusz Suder (pisałem wcześniej na Elektrodzie - ale chyba mam nieaktywne stare konto). Chciałbym zaprezentować PSPLC - uniwersalny symulator procesu, używany do budowy różnych technologicznych modeli. PSPLC - jest zaprojektowany do współpracy z PLCSIM v 5.x (częścią programu Step7 i TIA Portal środowiska programistycznego...

    Automatyka Sterowanie PLC   27 Mar 2023 10:34 Odpowiedzi: 2    Wyświetleń: 1908
  • interfejsy, test

    Co to za symulator? Czy już jakiś interfejs i program na nim poszły?

    Samochody Elektryka i elektronika   29 Mar 2006 18:34 Odpowiedzi: 6    Wyświetleń: 1928
  • Własne urządzenie HID USB (symulatory lotu)

    Witam serdecznie. Z góry zaznaczam, iż nie jestem pewny, czy w dobrym temacie opisuję moje problemy. Przepraszam również za styl pisania posta, nie wiem, jak jaśniej sprecyzować temat Jestem amatorem symulacji lotniczych. Na rynku są dostępne urządzenia służące do kontrolowania świateł, iskrowników, takie jak . Chciałbym skonstruować właśnie taki panel...

    Projektowanie Układów   08 Cze 2009 22:18 Odpowiedzi: 1    Wyświetleń: 3143
  • HPI TF-3 przerobienie na interfejs USB

    Witam kolegów. Od jakiegoś czasu zastanawiam się jak przerobić moją starą aparaturę dokładnie (HPI TF-3 TRANSMITTER 2ch/AM27MHz ) pod podłączenie do komputera za pomocą jakiegoś kupnego interfejsu np: [url=]Link Czytałem co nieco o tym, że można wyprowadzić 3 piny od każdego potencjometru i podpiąć to pod wtyczkę gameport ale nie posiadam takiego w...

    Robotyka Modelarstwo i CNC   06 Gru 2011 13:38 Odpowiedzi: 13    Wyświetleń: 2043
  • Interfejs MIDI-USB DIY do połączenia instrumentu z keybo

    Czy jest dostępny gdzieś w sieci jakiś projekt prostego interfejsu MIDI-USB na bazie Atmega8? Znalazłem taki projekt który mi się spodobał i kupiłem już nawet część elementów , ale okazało się, że załącznik z wsadem oraz strona autora projektu nie otwierają się już. Nie wiem też czy to by w ogóle działało na laptopie z Windows10. Oczywiście prościej...

    Początkujący Elektronicy   18 Kwi 2019 18:47 Odpowiedzi: 0    Wyświetleń: 261
  • Idealny do edukacji symulator obwodów elektronicznych

    Dzięki za sugestię, ale miałem nadzieję, że może któreś z tych lekkich symulatorów mają może taki element. Z tego co się zorientowałem, to ten PSCAD, to jednak jest dość złożone już narzędzie i już pomijam, że nie darmowe, czego w sumie po programach z serii CAD można się było spodziewać :). Z CADów znam tylko klasycznego AutoCada, więc po tamtym nie...

    Projektowanie PCB   23 Sty 2020 22:48 Odpowiedzi: 8    Wyświetleń: 19535
  • Symulator C51 i nie tylko

    Zgadzam sie ze wszystkim marchewa101 ale na razie glowna uwage skupilem na napisaniu core procesora C51, a nie nad interfejsem uzytkownika. Mimo wszysto sa to dla mnie cenne wskazowki i na pewno z nich skorzystam. Za niektore juz sie zabieram :-) Pozdrawiam kubagert

    Mikrokontrolery   10 Gru 2003 17:23 Odpowiedzi: 3    Wyświetleń: 1598
  • Symulator kasy fiskalnej

    Siedzę w temacie dość mocno. Budowa jest dość prosta. Problemem staje się jednak kodoana transmisja pomiędzy kasą a taksometrem. Jeśli ktoś będzie posiadał dokumentację interfejsu to proszę o odpowiedź.

    Mikrokontrolery   21 Maj 2004 17:55 Odpowiedzi: 5    Wyświetleń: 12490
  • Programator/symulator procesorów serii AT89Cxx51

    Witam chciałem przedstawić efekt końcowy wykonania programatora procesorków serii AT89Cxx51, układ posiada także funkcję symulacji, dzięki specjalnej tasiemce możemy wpiąć się w układ i podczas projektowania programu sprawdzać jego działanie nie tracąc czasu na fizyczne zaprogramowanie uC, dzięki przełącznikom mamy możliwość ustawiania funkcji programatora...

    DIY Konstrukcje   14 Lut 2007 12:18 Odpowiedzi: 14    Wyświetleń: 5113
  • (cz.1) ORCZYK do symulatora czyli "Polatajmy jak w real

    wybaczcie, ze odswiezam, czy ktos z Forumowiczy probowal stworzyc swoj joystick? tzn swoj, interfejsy do przyciskow, potencjometrow, itp. wiem, slyszalem o mjoy, ale on jest troche drogi. pozdrawiam, p4v3u

    DIY Konstrukcje   06 Kwi 2009 17:58 Odpowiedzi: 28    Wyświetleń: 15512
  • Deska rozdzielcza do symulatora na AVR

    Bez przesady z cenami mozesz wyjac zegary z poloneza i bedziesz mial to samo. Cena 40zl chocby tutaj . Mnie osobiscie ciekawi interfejs pomiedzy gra a urzadzeniem.

    DIY Zagranica   29 Paź 2007 13:13 Odpowiedzi: 19    Wyświetleń: 8959
  • Symulator komunikacji po CANie między ECU i ABS w AUDI

    Witam! W Audi RS4 2.7 Biturbo ECU komunikuje się z ABS przez Can, przesyłając do ABS info o obciążeniu, itp. to oczywiste. Jednakże usuwamy orginalny ECU, a montujemy sportowy sterownik ( Autronik ).W tym momencie ABS (wraz z ESP) przestanie działać, gdyż Autronik nie obsługuje CAN, a nawet jesli zastosować sportowy sterownik z obsługą CAN, nie znamy...

    Samochody Elektryka i elektronika   06 Gru 2007 17:15 Odpowiedzi: 1    Wyświetleń: 1745
  • jaki program do interfejsu dmx

    [url=]Martin Light Jokey PL Instrukcja obsługi oprogramowania Martin Light Jokey w wersji PL [url=]PROGRAM Program (symulator) konsoli oświetleniowej MagicQ chamSys... Program oświetleniowy o funkcjonalności normalnej konsoli DMX

    Optoelektronika   25 Lip 2011 09:21 Odpowiedzi: 20    Wyświetleń: 10252
  • Symulator RC? Co, Jak, Gdzie?

    Nieśmiertelny FMS (wiem że do niego interfejs możesz zrobić sam)

    Robotyka Modelarstwo i CNC   22 Paź 2008 20:12 Odpowiedzi: 3    Wyświetleń: 1265
  • Czy jest symulator Estrady?

    Dla nie klikających: wykorzystanie programu wysiwyg r25 w pracy projektanta oświetlenia efektowego W czerwcu Artur Szyman poprowadzi dwudniowe szkolenie skierowane do wszystkich pasjonatów oświetlenia efektowego. Termin: 15 - 16 czerwca 2010 Godziny szkolenia: 15 czerwca 11.00 – 18.00 16 czerwca 10.00 – 17.00 Rejestracja uczestników i uruchomienie...

    Oświetlenie i Efekty sceniczne   06 Cze 2011 18:14 Odpowiedzi: 15    Wyświetleń: 5022
  • LEXIA3 .PP2000 problem z interfejsem

    tu masz symulator ktory pozwoli Ci uruchomić lexie bez podłączonego kabla. Będziesz wtedy wiedział czy masz problem z oprogramowaniem czy samym kablem.

    Samochody Elektryka i elektronika   12 Sie 2010 05:33 Odpowiedzi: 11    Wyświetleń: 19418
  • Audi Concert + MP3 + AUX (symulator zmieniarki)

    mipix czyli wsad z wersji 10.2 nie będzie działał z Concertem PANASONICA? czy załączanie całego ustrojstwa można wykonać na przekaźniku wysterowanym +12V z pinu nr 16 ? (bo u ciebie jak widzę urządzenie po prostu wkładasz do gniazda zapalniczki...); gdzie umieściłeś interfejs ... w obudowie grajka?

    Radia Samochodowe FAQ   12 Wrz 2012 05:40 Odpowiedzi: 17    Wyświetleń: 109534
  • [ARM] [NXP1768][C] Interfejs one-wire, ds18b20,

    Warunek nie jest poprawny, bo sprawdzasz stan całego portu, a interesuje Cię tylko jeden pin. Warunek sprawdza pin P1.0 Do sterowania pinami zamiast FIOPIN lepiej używać FIOCLR i FIOSET (dla całego portu). Robiłem kiedyś komunikację z DS18B20 na AVR. Przy implementacji programowej trzeba uważać na zależności czasowe, stąd moja prośba o oscylogramy...

    Mikrokontrolery   14 Wrz 2011 17:11 Odpowiedzi: 14    Wyświetleń: 2435
  • potrzebuje symulatora sieci neuronowej

    Uczyłem się na SNNS - niezły program, za to z baaardzo nieintuicyjnym interfejsem graficznym Athena Widgets pod X11, pochodzącym z czasów w których MS Windows nawet nie był w planach :). Łatwiej uruchomić na Linuksie. Jest wersja JavaNNS z tą powinno być mniej kłopotów na Windowsie, ale nie testowałem.

    Projektowanie Układów   21 Kwi 2012 14:02 Odpowiedzi: 2    Wyświetleń: 1523
  • Atmel Studio 6 - Symulator

    Na razie nie, są takie dodatki do AS4. Zarówno do AS4, jak i 6 można uzyskać z Atmela informacje o pisaniu takich interfejsów dla AS po podpisaniu NDA.

    Mikrokontrolery AVR   22 Lis 2012 12:33 Odpowiedzi: 1    Wyświetleń: 2025
  • C, C++ - Symulator sieci bezporzewodowej

    Wiem, co chcę zrobić :) Toć napisałem - symulator z prostym interfejsem graficznym do rozmieszczania węzłów sieci (ZigBee) z możliwością testowania tej sieci w warunkach wolnej propagacji. Co ma testować, co ma robić - napisałem. czego nie rozumiesz McMonster? Ów symulator ma implementować algorytm trasowania sieci bezprzewodowej w standardzie ZigBee....

    Programowanie   23 Sty 2013 21:17 Odpowiedzi: 8    Wyświetleń: 1809
  • [Zlecę]PC - Zlecę napisanie interfejsu do gry - pozycjonowanie

    Dzień dobry. Chcę zbudować mały garażowy symulator taki jak na filmie poniżej. Chcę użyć np. gry IŁ-2 Szturmovik, aby max odwzorować pozycję kabiny muszę (przynajmniej tak mi się wydaje) mieć za gry podane położenie osi x.y,z. I to jest właśnie moje zlecenie, potrzebuję kogoś kto z gier będzie mogł mi wysłać na port np. ethernetowy, ew. USB. Pozycję...

    Projektowanie Bazar   31 Maj 2013 07:52 Odpowiedzi: 0    Wyświetleń: 939
  • Turnigy 9x V2 w symulatorze kanały "wariują"

    Też zrobiłem za jego pomocą i kiedy wchodzę w "skonfiguruj kontrolery gier" i wchodzę w to pojawiają mi się paski oś Z i obrót Z. I to wszystko innych napisów, ani nic innego nie ma. Może mam coś ustawić w aparaturze? Poza tym jak chcę skalibrować to też nie działa, bo żadne z pasków się wówczas nie ruszają. Poza tym zauważyłem ciekawą rzecz, a mianowicie...

    Robotyka Modelarstwo i CNC   04 Cze 2014 20:07 Odpowiedzi: 10    Wyświetleń: 2100
  • Step 7 - Symulator sterownika

    Witam. Chcialem zasymuować pracę działania sterownika w Step 7 tak jak pokazano na tym filmie: Ale mój program niema modułu PLCSIM w interfejsie usuwania i dodawania sprzętu. Czy to jest zależne od wersji ? Mam zainstalowaną wersję 5,5 + SP1 wcześniej miałem 5,5 + SP2 ale ta wersja też tego nie miała. Ktoś podpowie co mogę zrobić ?

    Automatyka Przemysłowa   21 Lut 2015 18:13 Odpowiedzi: 2    Wyświetleń: 774
  • Prosty kontroler do symulatorów lotów na USB

    Szczerze mówiąc odrobinę śmieszą mnie tego typu "projekty", dumnie publikowane na Instructables.com ... Od strony technicznej jest to tylko płytka, kilka elementów i niechlujnie polutowane kabelki, autor nawet nie zadał sobie trudu napisania sterownika, tylko emuluje klawiaturę/joystkick ... Ładne są jedynie zdjęcia i wygląd końcowy ... Prosiłbym o...

    DIY Zagranica   01 Wrz 2015 18:10 Odpowiedzi: 7    Wyświetleń: 6210
  • Jak zrobić własny symulator obwodów i opracować algorytm? VS2015 i C#.

    Szczerze mówiąc nie do końca rozumiem Twój problem. Chcesz obliczyć moc, więc wiesz, że moc to iloczyn natężenia prądu i napięcia. Gdzieś musisz mieć te dwie wartości (nie wiem, jaki masz interfejs użytkownika, więc albo pytasz o te dane z konsoli albo czytasz z kontrolek), więc je bierzesz i obliczasz zgodnie ze wzorem. Żeby było ładnie, możesz same...

    Programowanie   13 Lut 2017 11:22 Odpowiedzi: 1    Wyświetleń: 498
  • Budowa symulatora samochodu i elektronika

    Ale Arduino musiałoby zamykać obwód a nie wysyłać impuls bo ten interfejs może się uszkodzić Da się zrobić, jest na to prosty chwyt. Załóżmy, że Twoje przełączniki zwierają do masy. Na początku "kliknięcia" ustawiasz pin jako wyjście ze stanem niskim, wyjściowy tranzystor zwiera linię do masy. Na końcu "kliknięcia" ustawiasz linię jako wejście, przestawia...

    Początkujący Elektronicy   09 Lip 2017 08:50 Odpowiedzi: 21    Wyświetleń: 1947
  • [MatLab i RPi] Raspberry Pi jako symulator sygnałów i przetwornik AC/CA

    Z tym przetwornikiem musisz się skomunikować poprzez interfejs SPI. GPIO w Rpi działa cyfrowo. Może mieć w danej chwili stan 3V3 lub 0V.

    Raspberry Pi i inne SBC   23 Gru 2022 10:20 Odpowiedzi: 3    Wyświetleń: 180
  • Narzędzie OpenBeken Schedules Utility (generator interfejsu użytkownika addClockEvent) - prace w tok

    Cześć, Obecnie mam problem z uruchomieniem projektu aplikacji internetowej. Czy znasz sposoby na rozpoczęcie pracy w systemie Linux przy użyciu npm lub w inny sposób, ale bez użycia symulatora?

    Smart Home IoT   06 Mar 2024 17:56 Odpowiedzi: 5    Wyświetleń: 153
  • Walkera - Interfejs UB-001 do symulator a windows7

    Witam, Czy ktoś korzystał kiedyś z interfejsu UB-001 pod windows7? Gdzieniegdzie są wzmianki że niby nie chodzi pod 7. Pozdrawiam

    Robotyka Modelarstwo i CNC   29 Paź 2016 15:03 Odpowiedzi: 0    Wyświetleń: 654
  • Poszukiwanie emulatora/symulatora pamięci uWire 93C86 z interfejsem PC (USB, RS232, LPT)

    Witam Nie jestem pewien czy napisałem w odpowiednim dziale. Chciałem zapytać czy istnieje coś takiego jak emulator/symulator pamięci uWire 93C86. Chodzi mi o interfejs podłączony do PC (USB,RS232,LPT) ,który będzie symulował tą pamięć. Wiadomo ,wgranie trwa... Dlatego zadałem pytanie ,czy istnieje interfejs + program do którego wczytam zawartość bin...

    Mikrokontrolery   16 Lip 2021 17:17 Odpowiedzi: 0    Wyświetleń: 270
  • Rzadko zadawane pytania: Jak zbudować wierny makromodel przełącznika?

    Pytanie: Czy mogę ulepszyć model analogowego klucza w LTspice, gdyż mój projekt zawiera w sobie takie przełączniki i multipleksery? Odpowiedź: Pewnie; tworzenie własnych modeli do LTspice nie jest trudne. Wprowadzenie Podczas testowania obwodów elektronicznych często natknąć można się na istotne rozbieżności w stosunku do projektu i obliczeń na papierze....

    Artykuły   04 Kwi 2020 09:59 Odpowiedzi: 0    Wyświetleń: 1335
  • Jak przełączyć WK-2801 PRO na 4CH, by połączyć się z PC za pomocą UB-001?

    Link Interfejs USB symulatora - kabel do nadajników Walkera 2.4GHz (UB-001)

    Robotyka Modelarstwo i CNC   04 Gru 2016 17:39 Odpowiedzi: 5    Wyświetleń: 747
  • Nauka latania samolotami zdalnie sterowanymi. Szybowiec czy śmigłowiec?

    Kup betę 1400 albo pioneera 1400 (jeśli w Polsce, są to praktycznie te same modele) w wersji KIT i do tego osobno osprzęt, będziesz miał znacznie lepszy zestaw niż ten RTF. Albo jeszcze zakupy w HobbyKingu - Bixler 1400 - również praktycznie to samo. (at)edit Jeśli zdecydujesz się na turnigy, koniecznie jakiś interfejs do symulatora, jako sam symulator...

    Robotyka Modelarstwo i CNC   29 Sie 2012 14:57 Odpowiedzi: 16    Wyświetleń: 6369
  • Radio Opel szukam sposobu na rozkodowanie.

    zależy od typu radia niektóre można liczyć , do reszty trzeba mieć sprzęt i wiedzę :D A o kablu to zapomnij radio to nie komórka , programatory , interfejsy , symulatory , kasowniki , umiejętności w wylutowywaniu procesorów itp. itd. , oraz praktyka

    Radia Samochodowe Serwis   17 Kwi 2006 11:37 Odpowiedzi: 5    Wyświetleń: 2683
  • Dobór pasma radiowego dla aparatury dwukierunkowej.

    Dziękuję za link, mam świadomość, że będzie to niezbędne. Jednak loty poza zasięgiem wzroku są dość daleką przyszłością(zapewne więcej niż 2 lata), więc będę się martwił o pozwolenia jak będę budował modele do tego celu. Jak już mówiłem, docelowe modele będą *duże* i loty będą rejestrowane. Ten projekt ciągnie się już od nieco ponad roku, w międzyczasie...

    Robotyka Modelarstwo i CNC   07 Maj 2014 22:16 Odpowiedzi: 13    Wyświetleń: 2016
  • Jakie to gniazdo (symbol)?

    dzięki bardzo ,ten jack to jest wersja mono?Hm i dzie kupić taki kabel tu jest bale cały zestaw kabel-interfejs-przewod-symulator-4-8-ka... 3.1.18. Nie wysyłaj linków, które po pewnym czasie przestaną być aktywne. To spowoduje, że dyskusja straci jakikolwiek sens.

    Początkujący Elektronicy   22 Wrz 2016 17:57 Odpowiedzi: 2    Wyświetleń: 483
  • Nie widzę problemu - życie codzienne z wadą wzroku

    Po niedawnym, drobnym Czynności życia codziennego, które wyglądają inaczej, jak się widzi tyle, co ja Poruszanie się Gdzieś kiedyś przeczytałem, iż wzrok odpowiada za 90% naszego postrzegania otoczenia. Coś w tym jest. Często proponuję widomym prosty eksperyment: spędź cały dzień z zasłoniętymi oczami. Raz zrobiłem taki eksperyment na imprezie u znajomego:...

    Tematy tygodnia   03 Maj 2023 08:46 Odpowiedzi: 54    Wyświetleń: 4203
  • Jak poprawnie zaprojektować i uruchomić pętlę PLL

    Wstęp Projektowanie i debugowanie gotowej pętli synchronizacji fazy (pętli PLL, ang. phase-locked loop) może być niezmiernie skomplikowane, o ile osoba zajmująca się tym nie posiada szerokiej wiedzy dotyczącej teorii działania pętli PLL. Poniższy artykuł, napisany przez Raya Suna prezentuje pewną uproszczoną metodologię projektowania układu z pętlą...

    Artykuły   24 Wrz 2013 11:34 Odpowiedzi: 0    Wyświetleń: 3627
  • Praca dyplomowa OPC+PLC+HMI+Matlab. Czy to będzie działać?

    Chciałbym się Was poradzić jeszcze w kwestii komunikacji symulatora PLC z serwerem OPC. Wysłałem zapytanie do Siemensa "....czy jest możliwość komunikacji S7-PLCSIM v5.4 z serwerem OPC zainstalowanym na tym samym komputerze, czy może wymagane są dodatkowe narzędzia, interfejsy." Otrzymałem odpowiedź od jednego z pracowników: "Z tego co wiem nie ma możliwości...

    Automatyka Przemysłowa   17 Wrz 2013 10:36 Odpowiedzi: 12    Wyświetleń: 4026
  • Jak czytać karty katalogowe? Część 7 - diody TVS - transile

    W Na potrzeby tego artykułu przyjrzymy się bliżej dwóm rodzinom diod TVS - serii elementów 1.5KE firmy Vishay oraz elementom z rodziny SMDJ firmy Littlefuse. Jak za każdym razem dobrze jest otworzyć sobie karty katalogowe tych elementów w tle bądź wydrukować je, aby łatwiej zrozumieć to, co zapisano w artykule. Transorby Transile, w niektórych kręgach,...

    Artykuły   12 Cze 2018 09:05 Odpowiedzi: 4    Wyświetleń: 6432
  • Attiny85 + LED RGB sterowana potencjometrem

    Z tym potencjometrem to jest tak ze symuluje on prace czujnika ktory reguluje rezystancje doprzez mase: zimny daje około 100ohm goracy zmniejsza opór do 10ohm (zwarcie do masy dajace 0 uznalbym za uszkodzenie czujnika, oo i to moge także wykożystać w oprogramowaniu) Wracajac do tematu czy powinienem cos jeszcze dołożyć do mojego układu? Dodano po 1...

    Arduino   06 Paź 2017 21:11 Odpowiedzi: 40    Wyświetleń: 3171
  • SPI - czy komunikacja w dwie strony jest możliwa?

    Hmm dalej mam problem..i naprawde nie mogle znalesc bledu prosze zobaczcie : Ustawienie do komunikacji SLAVE - MASTER MASTER: void SPI_MasterInit(void) { DDR_SPI = (1<<DD_MOSI)|(1<<... SPSR = (1<<SPI2X); //f_0sc/2 } SLAVE: void SPI_SlaveInit(void) {...

    Mikrokontrolery   04 Lut 2009 17:28 Odpowiedzi: 7    Wyświetleń: 1338
  • [AVR/XMEGA] - Mikrokontrolery AVR jakich używasz - ankieta

    Natomiast jeśli chcesz mieć oprócz programowania również debuggowanie to musisz się zaopatrzyć w JTAGICE Mk.II lub JTAGICE 3, a to już jest koszt rzędu 400-500 PLN. (Atmel Studio ma symulator również dla XMEGA, więc w większości przypadków można się obejść bez debuggera). Tylko małe sprostowanie, JTAG i możliwość debugowania w układzie można uzyskać...

    Mikrokontrolery AVR   15 Cze 2013 18:47 Odpowiedzi: 54    Wyświetleń: 13665
  • K-line/CAN/SAE/- Urządzenie symulujące protokoły

    Jak pracowałem nad IAW ECU Scan i IAW-Scan2 to początkowo napisałem symulator sterownika IAW-16F na ATTiny (przyjmował żądania i na nie odpowiadał tak jak prawdziwy sterownik), później zrobiłem sobie coś co nazwałem "symulatorem samochodu" - obudowa z kilkoma przekaźnikami, rezystorami, potencjometrami i przełącznikami, mogąca symulować podstawowe czujniki...

    Samochody Elektryka i elektronika   28 Lip 2014 18:37 Odpowiedzi: 5    Wyświetleń: 3213
  • czy w bascomie da radę?

    avr mają isp w standardzie (każdy), ale spi mają nieliczne.. (mieędzy isp a spi jest duża ruznica.. In system programing to programowanie w systemie a Serial programed interface [czy jak sie to pisze] to sprzętowe wejście wyjście tego interfejsu..) łatwo sie nauczyć.. kompilator - ja używam AvrStudio 4 (edytor + symulator + kompilator + programator)...

    Mikrokontrolery   16 Maj 2004 18:30 Odpowiedzi: 10    Wyświetleń: 1376
  • RC - Podłączenie pilota do komputera

    Witam. Chciałbym zbudować robota którym można by było sterować pilotem jak i za pomocą komputera. W tym celu myślałem nad wysyłaniem instrukcji z komputera do pilota za pomocą jakiegoś programu no i oczywiście odpowiedniego interfejsu. Posiadam taki oto pilot 2,4GHz: I tu rodzą się pytania: 1. Do czego służą elementy zaznaczone pod numerami 1 i 3 2....

    Robotyka Modelarstwo i CNC   11 Sty 2015 14:04 Odpowiedzi: 0    Wyświetleń: 861
  • ATXMEGA 128A3U - Uruchomienie wyświetlacza SSD1289

    Może tak być, jednak zazwyczaj na PCB modułu są pola z mostkami cynowymi do konfiguracji interfejsu. Warto sprawdzić. Druga rzecz - odpal swój program w symulatorze i zobacz czy sygnały interfejsu i8080 są ustawiane tak jak tego oczekujesz. A na koniec - warto rozkodować te magiczne polecenia dla kontrolera i sprawdzić co robią porównując to z zamieszczonym...

    Mikrokontrolery AVR   17 Lis 2015 11:17 Odpowiedzi: 7    Wyświetleń: 1830
  • FAQ

    Tak właściwie się zastanawiłem czemu forum (mikrokontrolery) niema jescze FAQ. Takie oficjalne FAQ było by bardzo pomocne dla nowicjuszy, i eliminowało by kolejne pytania: jaki programator jest do AVR'a, jaki jest kompilator do jenzyka C, albo jak poustawiać fusy w AVR żeby go nie skopać a ustawić xxx takie pytania się cochwila powtarzają i są bardzo...

    Mikrokontrolery   19 Wrz 2004 15:57 Odpowiedzi: 11    Wyświetleń: 1908
  • Hasło w sterowniku S7 -300 firmy Siemens

    Witam, Drodzy użytkownicy Chciałbym uprzejmie prosić o pomoc w rozwiązaniu następującego problemu. Ponieważ pisze pracę dyplomową Sterowniki Logicznie Programowalne - przewodnik użytkownika dla S7 -300, postanowiłem w swojej pracy umieścić kilkanaście mniejszych i klika większych programów. Wszystkie z nich postanowiłem zabezpieczyć przed późniejszym...

    Początkujący Elektronicy   13 Gru 2009 20:03 Odpowiedzi: 4    Wyświetleń: 2008
  • STEP7 i wizualizacja w WinCC Flexible 2008

    Przepraszam że odpisuję z opóźnieniem i jednocześnie dziękuję za wszystkie odpowiedzi:) Postaram się opisać krok po kroku to co udało mi się zdziałać. Jeżeli w moim opisie pojawią się jakieś nieścisłości lub zbędne rzeczy to proszę o skorygowanie:) Najpierw krótko o sprzęcie itp: HARDWARE: - sterownik PLC Siemens S7-300 (CPU 312C) z modułem komunikacyjnym...

    Automatyka Przemysłowa   20 Mar 2010 11:22 Odpowiedzi: 17    Wyświetleń: 9293
  • Potrzebny interface do graupner x-412

    Witam Nie wiem czy jeszcze aktualne pytanie - jeśli tak - warto zerknąć na : Dużo informacji jest również na stronie www.pitlab.pl W razie potrzeby , służę dalszymi informacjami , do swojego X-412 najpierw stosowałem interfejs bardzo podobny do opisywanego w pierwszym linku (LPT) , obecnie stosuję przejściówkę na USB ( jako dżojstik ) . Można go kupić...

    Robotyka Modelarstwo i CNC   17 Sie 2010 00:46 Odpowiedzi: 1    Wyświetleń: 1536
  • [Zlecę] Wykonanie modelu układu zapłonowego

    Chcesz symulator czujnika wału? I tylko do MED210? Żadne microplexy, digiplexy? Powiem tak- poradziłem sobie w ten sposób, że sygnał z czujnika nagrałem na laptopa (funkcja odtwarzacz), zapętliłem go i puszczałem na moduł w cienkim za pomocą banalnego interfejsu.

    Projektowanie Bazar   23 Lip 2013 19:46 Odpowiedzi: 7    Wyświetleń: 2835
  • 5 kroków do zaprojektowania wbudowanej architektury oprogramowania - część 5

    To ostatnia część poradnika poświęconego opracowaniu architektury wbudowanej. Dotychczasowo omówiliśmy tworzenie poszczególnych elementów i klasyfikację ich, w zależności od kontaktu ze sprzętem etc. Ostatni krok łączy wszystkie poprzednie, zapewniając nam kompletną i całkowitą architekturę. Pięć kroków projektowania, które przedstawione zostały w ramach...

    Artykuły   09 Sty 2023 03:45 Odpowiedzi: 0    Wyświetleń: 927
  • Eksperymenty EKG z monitorem pracy serca (Heart Monitor), oscylografem i OpenLog

    Eksperymenty związane z EKG Od dawna uważałem za interesujące rozważania o własnych systemach EKG. Szczególnie interesują mnie rozwiązania niedrogie i łatwe w konfiguracji. W tym artykule przedstawiono kilka sposobów wykorzystania tej techniki. Ale najpierw coś podstawowego: Ze względu na bezpośredni kontakt elektrod EKG z ciałem, wszystkie elementy...

    DIY Konstrukcje   25 Maj 2022 09:48 Odpowiedzi: 14    Wyświetleń: 5157
  • Eaton Easy lub Siemens Logo! - początki zabawy z PLC

    Zobacz moduł PLC logic od Phoenix Contact: [url=]PLC-V8C/PT-24DC/SAM - 2905136 Nakłada się to na 8 przekaźników interfejsowych (lub kilka przekaźników uzupełnionych modułami "dummy") i wychodzi z tego w pełni programowalny przekaźnik. Programowanie bloczkami, soft darmowy do pobrania ze strony internetowej. Zawiera między innymi symulator, więc można...

    Automatyka Sterowanie PLC   28 Sie 2016 14:26 Odpowiedzi: 14    Wyświetleń: 3642
  • 10 aplikacji do symulacji obwodów dla amatorów i profesjonalistów

    Niezależnie od tego, czy jesteś profesjonalnym projektantem urządzeń elektronicznych, czy to tylko Twoje hobby, wiesz na pewno, że testowanie urządzenia, nawet na etapie projektu, jest koniecznością. Pozwala to zaoszczędzić czas i pieniądze poprzez uniknięcie błędów projektowych przed fizycznym wykonaniem płytki drukowanej i zainstalowaniem na niej,...

    Artykuły   24 Lis 2019 12:14 Odpowiedzi: 21    Wyświetleń: 29811
  • HTML i CSS - początki i trudności

    Witam!! W szkole mam zrobić stronę .html na podstawie i z dokładnym odwzorowaniem tego dokumentu .doc (to jest strona prowadzącego zajęcia - kliknięcie od razu pobiera dokument .doc). Wcześniej jedyny HTML jakiego używałem to strona O mnie na alledrogo, która i tak jest beznadziejnie zrobiona :P Zabrałem sie do pracy po 2 wykładach. Jeden z html'a jeden...

    Tworzenie WWW   13 Mar 2011 13:48 Odpowiedzi: 8    Wyświetleń: 3077
  • Joystick USB - czyli jak zacząć przygodę z wirtualnym lataniem

    1. O czym opowiem? A więc czas zacząć opowieść od początku. Jak zapewne wielu tak i ja marzę o lataniu samolotami nie tylko jako pasażer, ale także w pierwszym rzędzie, czyli jako pilot. Rzecz jasna marzenie takie jest dosyć kosztowne do realizacji (kurs pilotażu kosztuje na dzień dzisiejszy około 20 000 zł, potem dochodzi pożyczanie samolotu, jeśli...

    DIY Konstrukcje   05 Wrz 2015 20:58 Odpowiedzi: 11    Wyświetleń: 10008
  • Przeprowadzka cz. 2 - losy ludzi na statkach-państwach SF

    Jest to kontynuacja poprzedniego opowiadania SF p.t. Inni Gdy Serafin odpoczywał, wiele innych statków-państw przemierzało przestrzeń międzygwiezdną. Statki znajdowały się różnych miejscach, prawo panujące na poszczególnych statkach nieco różniło się, tak jak ideologia zamieszkujących go ludzi. Gdy wiele tysięcy lat temu statki-państwa wyruszyły w międzygwiezdną...

    HydePark opowiadania, powieści   20 Cze 2020 08:55 Odpowiedzi: 0    Wyświetleń: 744
  • STMicro ST31N600 ARM SecurCore SC000 z zabezpieczeniami biometrycznymi

    Firma STMicro zaprezentowała nowy układ, korzystający z mało znanego rdzenia z rodziny ARM SecurCore. Dedukowany jest do kart inteligentnych i wbudowanych aplikacji zabezpieczających. ARM SecurCore SC000 (rodzina oparty na Cortex-M0) i ARM SecurCore SC300 (oparta na Cortex-M3) istnieją od lat, ale nie są tak popularne, jak inne, co STMicro z swoim...

    Newsy   23 Sty 2022 18:40 Odpowiedzi: 14    Wyświetleń: 663
  • AVR i symulacja sprzetowa

    Tak dokładniej chodzi o wgranie do procesora programu monitora który jak dobrze pamiętam znajduje sie w katalogu bascoma . Program ten działa w symulacji za pośrednictwem złącza rs232 ( com ) więc do procesora należy dodać max 232 . Pozwala on na operacje na portach i inne drobiazgi . Chcąc bawić sie symulatorem troszkę lepiej należało by skorzystać...

    Mikrokontrolery   27 Maj 2006 10:48 Odpowiedzi: 5    Wyświetleń: 1930
  • Katastrofa prezydenckiego samolotu TU-154 w Smoleńsku + Film

    8-O Czy ty w ogóle rozumiesz pojęcie symulatora samolotu :?: :?: :?: :?: To nie jest jakaś gierka dla dzieci z wyświetlanymi cyferkami, lampkami, i pstryczkami , wyświetlanymi na monitorze komputerka, i klawiaturą sobie pstrykasz. :D:D:D Rozbawiłeś mnie teraz niesamowicie :D:D:D Miło mi, że Cię rozbawiłem, w końcu tyle jest smutku dookoła, że nawet...

    Newsy   12 Kwi 2022 22:52 Odpowiedzi: 3078    Wyświetleń: 403604
  • Globalna lista wnętrz urządzeń IoT (ESP8266, BK7231, BL602, W600, itp)

    Przedstawię tu Dział "Urządzenia Smart Home" oraz interfejs listy dostępny na Github Wszystkie artykuły i recenzje są dostępne na naszym forum w dziale pull request : Pull request powinien zawierać już link do tematu na Elektrodzie ze zdjęciami i opisem urządzenia. Kilka słów o samej liście urządzeń Zalikowana powyżej lista urządzeń to prosta aplikacja...

    Smart Home Urządzenia   25 Sty 2023 13:59 Odpowiedzi: 0    Wyświetleń: 2274
  • Nowe Raspberry 5 zaprezentowane

    Z drugiej strony nie wiem, czy zamiast rozdawać czwartoklasistom badziewiaste laptopy, takie Pi500 by nie miało więcej sensu Napewno miało by więcej sensu, czegoś innego niż x86, zapoznania z Linuxem. Wszyscy nie będą programistami, połowa dzieciaków przehandluje toto na allegro. A farbkek na plastykę, instrumentów w klasach brak, byle szafek na książki...

    Artykuły   05 Paź 2023 17:56 Odpowiedzi: 47    Wyświetleń: 3747
  • Sterownik nawadniania ogrodu z LCD oraz możliwością pracy w sieci HA

    W ramach moich projektów związanych z automatyzacją, tym razem chciałbym pokazać kontroler nawadniania ogrodu. Zeszłoroczne lato było wyjątkowo upalne i raczej suche, co z pewnością odbiło się na trawie i innych roślinach ogrodowych. Jak im pomóc? Rozwiązaniem są systemy nawadniania. Każdy producent takich systemów oferuje stosowne kontrolery, jednak...

    DIY Konstrukcje   22 Mar 2020 21:56 Odpowiedzi: 60    Wyświetleń: 11169
  • Opel Vivaro 2005r - usunięcie tacho

    Jak ktoś nie ma pojęcia jak to zrobić lub nie ma interfejsu do auta to później dziwne teorie wymyśla lub dokłada jakieś moduły. grala1 Nie o to chodzi - po prostu założeniem było nie modyfikować oprogramowania samochodu. Podmiana tacho na symulator z parametrami tacho tak aby w razie potrzeby szybko do niego powrócić. I takie też było podejście polskiego...

    Samochody Początkujący   08 Lip 2021 06:36 Odpowiedzi: 13    Wyświetleń: 2442
  • Jak wyciągnąć adresy z karty MMC/SD

    Karty Compact Flash w trybie podstawowym pracują w standardzie interfejsu PCMCIA, czyli funkcjonują jak dysk. Istnieje możliwość ustawienia interfejsu w standardzie szyny pamięciowej i wtedy karta ta będzie funkcjonowała tak, jak normalna kość pamięci. Jest tylko jeden problem - trzeba się przedrzeć przez PDF-a i zbudować prosty interfejs ustawiający...

    Mikrokontrolery   30 Mar 2005 17:25 Odpowiedzi: 20    Wyświetleń: 4657
  • Zwrotnice estradowe. Samodzielne wykonanie. Informacje. Filtry 12dB/oct.

    Nie bawiłbym się w konstruowanie zwrotnic bez możliwości zasymulowania tego w komputerze w oparciu o ZMIERZONE dane. To oznacza, że musielibyśmy mieć dwa programy: pomiarowy i symulator. Koszt: łatwo przewidzieć - większy. Przyczyny takiego stanu rzeczy to m.in.: 1. Fakt zmiany charakterystyki przez ściankę czołową; 2. Nieudolność symulatorów - większość...

    Nagłośnienie Profesjonalne   24 Lip 2006 13:41 Odpowiedzi: 12    Wyświetleń: 4025
  • Webasto - dogrzewacz Touran

    Witam, jestem, w stanie zbudowac symulator, niestety ze sterowanie przez pilota lub na okreslona godzine (czasowo) dam rade dopiero na nastepan zime dam rade. Tak wiec jesli ktos jest zainteresowany symulatorem to prosze o info. pozdrawiam WITAJ sędzia, mam pytanie czy zmontowałeś już ten symulator? Bo mam webasto z BMW( z canem) i chciałbym wmontowac...

    Samochody Szukam   08 Cze 2018 08:33 Odpowiedzi: 272    Wyświetleń: 274597
  • CADSTAR - pytania i odpowiedzi

    Chmm, może ta prezentacja w Power Pointa zawierająca animacje. Są 2 symulatory: TopSpice (Latimer), i firmy Beigbag - B2Spice. A także 3 w sumie nie będący symulatorem a tylko modułem interfejsu Cadstara do symulatora w Cadnece Pspice - Spice Interface (Latimer). Poniżej link do róznych programików przydatnych, może kolega znajdzie coś ciekawego, tam...

    Projektowanie PCB   15 Wrz 2011 20:06 Odpowiedzi: 48    Wyświetleń: 7426
  • News: Od dzisiaj jest dostępne AVRStudio w wersji 5

    Interfejs "ładny", ale symulator kicha... Nie obsługuje mega32, nie wiem jak wypada szybkościowo na pozostałe procki, bo nie miałem czasu spróbować. Jednak pracując na AVR studio4.18 tęsknię za szybkim symulatorem typu Keil('51), bo jednak na AVR symulator to muł pasiasty...

    Mikrokontrolery AVR   09 Cze 2012 06:29 Odpowiedzi: 163    Wyświetleń: 31310
  • sterowniki do karty graficznej

    Dobra , Zaraaz XD podam ci Dodano po 5 Przez Everesta : PoleWartość Komputer Typ komputeraWieloprocesorowy komputer PC z interfejsem ACPI System operacyjnyMicrosoft Windows XP Professional Dodatek service pack systemu operacyjnegoDodatek Service Pack 3 Internet Explorer7.0.5730.13 (IE 7.0) DirectX4.09.00.0904 (DirectX 9.0c) Nazwa komputeraU-8062A60D4AAC4...

    Sterowniki i BIOS   18 Lut 2012 19:17 Odpowiedzi: 9    Wyświetleń: 2629
  • SMC - Czy dobrze zaadresowałem sieć WAN?

    ad.1 i 2. Nie, przy masce 30 bitowej adresy podsieci zmieniają się o 4 (2^2), czyli poprawnie powinno być: Łącze Centrala-Oddział I: podsieć: 10.100.0.0 maska: 255.255.255.252 zakres adresów: 10.100.0.1-2 bradcast: 10.100.0.3 Łącze Centrala-Odział II: podsieć: 10.100.0.4 maska: 255.255.255.252 zakres adresów: 10.100.0.5-6 broadcast: 10.100.0.7 Łącze...

    Sieci Początkujący   28 Gru 2012 22:06 Odpowiedzi: 19    Wyświetleń: 2508
  • jak podłączyć monitory aktywne ze scarlett 2i2

    Witam Posiadam dwa monitory studyjne mackie mr8 oraz zamierzam kupić interfejs scarlett 2i2 , monitory mam podlaczone kablem 2x rca mini jack. W scarlett 2i2 mam wyjscie słuchawkowe oraz dwa wyjścia liniowe. Czy wystarczy kabel (ten co mam 2 rca mini jack) wpiąć do wyjscia słuchawkowego (dokupujac przejściówke na duży jack)w interfejsie 2i2 czy w inny...

    Nagłośnienie Profesjonalne   22 Mar 2015 10:27 Odpowiedzi: 5    Wyświetleń: 3225
  • Szybkie projektowanie PCB przy minimalnym nakładzie pracy - PULSONIXSponsorowany

    W ofercie firmy Evatronix SA, posiadającej wieloletnie doświadczenie w sprzedaży profesjonalnych narzędzi inżynierskich dla elektroników i mechaników, pojawiło się oprogramowanie do projektowania obwodów drukowanych PULSONIX firmy West Dev Ltd. Jest to intuicyjne oprogramowanie oferujące zaawansowaną funkcjonalność w atrakcyjnej cenie. Edycja schematów...

    Projektowanie PCB   03 Kwi 2020 20:30 Odpowiedzi: 54    Wyświetleń: 10512
  • Intel i RISC-V - dwa konkurencyjne ekosystemy zbierają siły

    Tak Intel, jak i wszystkie firmy skupione wokół RISC-V ogłosiły w ostatnim czasie formowanie dużych konsorcjów, mających za zadanie rozwijanie ekosystemów skupionych wokół tych układów. Intel stworzył konsorcjum Compute Express Link (CXL), które skupione ma być wokół otwartego interkonektu międzyukładowego, jaki firma ta chce wykorzystywać w swoich...

    Newsy   26 Mar 2019 18:38 Odpowiedzi: 1    Wyświetleń: 1044
  • SmartDV dodało wsparcie dla emulatora Verilator

    SmartDV Technologies poinformowało o dodaniu wsparcia dla Verilator - darmowego, otwartego symulatora języka opisu sprzętu (HDL), stając się pierwszym na świecie dostawcą systemów weryfikacji bloków IP (VIP). "Symulator typu open source, taki jak Verilator, jest świetną opcją dla startupów lub małych firm o skromnych budżetach" mówi Deepak Kumar Tala,...

    Newsy   28 Paź 2019 21:13 Odpowiedzi: 0    Wyświetleń: 351
  • Nowa bramka wieloprotokołowa na szynę DIN-Rail od Novakon z TI Sitara ARM

    Firma Novakon zaprezentowała niedawno kompaktową bramkę dedykowaną do konwersji protokołów z montażem na szynę DIN. Integruje ona w sobie oparty na rdzeniu ARM procesor Sitara od Texas Instruments. Tajwańska marka dostarcza również własne oprogramowanie bazujące na WWW, które umożliwia komunikację z różnymi przemysłowymi protokołami komunikacyjnymi....

    Newsy   09 Maj 2022 13:51 Odpowiedzi: 0    Wyświetleń: 408
  • Embedded World 2022 - układy RISC-V

    Pawilon RISC-V na targach Embedded World 2022 pokazał szereg udoskonaleń, od pierwszego procesora graficznego opartego na RISC-V po nowy zestaw rozwojowy o otwartym kodzie źródłowym. Targi Embedded World 2022 stały się miejscem, w którym można było zapoznać się z najnowszymi osiągnięciami w zakresie RISC-V. Innowacje obejmują szeroki obszar, od pierwszego...

    Newsy   10 Paź 2022 12:16 Odpowiedzi: 0    Wyświetleń: 531
  • Algorytmy neuromorficzne: już wkrótce w produktach konsumenckich

    IMX 636 od Prophesee i Sony to produkt czwartej generacji. Prophesee wskazuje, że przyszłe zmniejszą rozstaw pikseli i ułatwią integrację z konwencjonalnymi platformami obliczeniowymi. Co dzisiaj oznacza: „neuromorficzny”? „Uzyskasz 10 odmiennych odpowiedzi od 10 różnych osób” — śmieje się Luca Verre (na zdjęciu po prawej,...

    Artykuły   12 Paź 2022 14:57 Odpowiedzi: 0    Wyświetleń: 891
  • 3 powody, dla których zespoły wbudowane muszą wdrożyć symulacje

    Pierwszą rzeczą, którą każdy programista oprogramowania wbudowanego robi, gdy dowiaduje się, że będzie pracował nad nowym projektem, jest poproszenie o zestaw deweloperski. Pozwala on zaangażowanemu zespołowi zapoznać się z mikrokontrolerem i jego peryferiami. Następnie mogą oni zacząć składać system przy użyciu płytek rozwojowych i tym podobnych narzędzi....

    Artykuły   24 Lut 2024 14:45 Odpowiedzi: 5    Wyświetleń: 636
  • ATMEGA8L-8PI - problem z wgraniem do pamięci

    Jest taka książka: Mikrokontrolery AVR w praktyce Autor: Jarosław Doliński ISBN: 83-910067-6-X Format: B5, 452 str. Twarda oprawa O książce: Książka dla wszystkich elektroników, którzy chcą poznać budowę i sposób programowania mikrokontrolerów AVR, a także popularne narzędzia (programowe i sprzętowe) stosowane do realizacji na nich projektów. Zagadnienia...

    Mikrokontrolery   22 Lut 2005 10:15 Odpowiedzi: 8    Wyświetleń: 2660
  • Sterownik przemysłowy "GNUMASTER" - robimy ???

    język drabinkowo-podobny zamieniany na kod C. ...jako opcja. Wersja podstawowa dla przeciętnego użytkownika powinna omijać C. Wygenerowanie kodu wynikowego w C, ASM czy kodzie binarnym jest zbliżonym zadaniem i można porobić takie nakładki, natomiast dla przeciętnego nieprogramisty wędrówka przez C to wycieczka z Grójca do Warszawy przez Londyn Ponieważ...

    Mikrokontrolery   09 Lip 2006 19:02 Odpowiedzi: 108    Wyświetleń: 19306
  • ATMEGA128L - problem z przerwaniem

    Witam serdecznie Ostatnio zacząłem zabawę z programowaniem kontrolerów i od razu natrafiłem na dość dziwny (przynajmniej dla mnie) błąd. Mianowicie zauważyłem, że nie są przyjmowane przerwania od timera 0... Oczywiście na starcie programu wykonałem instrukcję odblokowującą globalne przerwania (asemblerowskie sei() ) ale nie dało to żadnego rezultatu,...

    Mikrokontrolery   20 Sty 2008 23:18 Odpowiedzi: 9    Wyświetleń: 1576
  • nowoczesny komputer ze scsi - jaki

    Platon zalatwil wiekszosc tematu i 100% sie z nim zgadzam. Od siebie dodam: Jest na to sposob - malo wydajesz - malo tracisz. Dla mnie to zadna roznica czy proc ma 2800 czy 3400, grafa za 200zl (np GF 7300GS) tez daje rade (ja mam jeszcze wiekszy zabytek i nie narzekam). Kazdy koputer po pewnym czasie robi sie "stary" i nigdy za tym nie nadazysz. Pocieszajace...

    Komputery Hardware   05 Sty 2007 00:39 Odpowiedzi: 13    Wyświetleń: 1718