REKLAMA

kodowane kluczy

Znaleziono około 2393 wyników dla: kodowane kluczy
  • Renault Clio 98' kodowanie fabr. klucza z pilotem

    Czy ktos moze mi pomoc chodzi mi o wkodowanie fabrycznego klucza z pilotem do Renault CLIO 1,2 1998. Z gory dziekuje za pomoc

    Samochody Zabezpieczenia   07 Sty 2005 22:09 Odpowiedzi: 1    Wyświetleń: 2766
  • KODOWANIE NOWYCH KLUCZY W VW PASSAT B5-99R.

    witam!!!!!!!!!!!!! koledzy pomocy!!!!!!!!!!! mam seata toledo 99r i 1,9 tdi i byl wymieniony silnik zestaw wskaźnikow oraz sa nowe dorobione 3 kluczyki jak to wszystko zrobic zeby zaprogramowac imobilajzer???????????ma ktos instrukcje do vaga????????

    Samochody Zabezpieczenia   23 Lip 2005 21:46 Odpowiedzi: 5    Wyświetleń: 7047
  • Renault Scenic procedura kodowania dodawania kluczy z użyciem CLIP

    Dzięki za szybka reakcję koduje pasujący bo od tego auta ale za nic nie chce załapac, mam dwie szt i na każdej tak samo. Ma ktoś może procedurę kodowania kluczyków do tego modelu CLIP'em bo może coś robię nie tak.

    Samochody Elektryka i elektronika   25 Lut 2012 11:54 Odpowiedzi: 2    Wyświetleń: 5952
  • REKLAMA
  • Hyundai ix35 - Kodowanie, synchronizacja klucza

    Złamał mi się kluczyk, kupiłem nową obudowę, przełożyłem do niej elektronikę i niby wszystko działa, ale ... Zamykanie i otwieranie auta z tego kluczyka działa tylko jak trzymam tego pilota przy bocznej przedniej szybie. Oryginalnym mogę zamykać i otwierać z domu, a tym do którego przełożyłem elektronikę, muszę praktycznie szyby dotykać. To nie jest...

    Samochody Początkujący   22 Lis 2014 13:57 Odpowiedzi: 0    Wyświetleń: 2799
  • kodowanie pilota/klucza volvo xc70 2003

    Witam wszystkich. Wiem że jest na forum kilka informacji na temat kodowania pilotów do mojego Volvo ale mam inny problem. Posiadam jeden komplet pilot + kluczyk i dorobienie całego kompletu możliwe jest tylko w ASO lub dobrych serwisach dedykowanych marce. Potrzebuję klucza i pilota zintegrowanego (tzw. scyzoryk) a taki dostępny jest tylko w modelach...

    Samochody Początkujący   02 Maj 2020 07:30 Odpowiedzi: 3    Wyświetleń: 3093
  • REKLAMA
  • Kodowanie nowego klucza z transponderem ID 46 PCF7946 do Audi A8 D3 2003r 3.7 benzyna

    proszę o podanie procedury kodowania , adaptacji nowego klucza z czystym transponderem do audi a8 d3 Jakie "narzędzie" posiadasz ? Potrzebujesz np. "Programator VVDI2 VAG immo4+ immo5"

    Samochody Zabezpieczenia   19 Paź 2023 05:58 Odpowiedzi: 3    Wyświetleń: 243
  • kodowanie vcds - Kluczyk do Bory

    Hm gwoli ścisłości: Pin do immo jest zapisany w pamiatce w liczniku, zdaje sie ze 93c86 smd, ale głowy nie dam :) Mozna tez odczytac przez zlacze diagnostyczne bez rozbierania czegokolwiek, ale sprzet troche kosztuje. Przy programowaniu kluczy w kanale 21 nie wpisujesz numeru klucza tylko ilosc kluczy które chcesz zaprogramowac. Różnica o tyle że jak...

    Samochody Elektryka i elektronika   03 Lip 2006 11:15 Odpowiedzi: 11    Wyświetleń: 9739
  • Renault Megane 96r - wyliczenie kodu kodowania z kodu kluczyka

    Witam, proszę o wyliczenie 4-cyfrowego kodu do Renault Megane Coupe 1.6 96r. z numerów kluczyka. Jeżeli ktoś potrzebuje jakieś dodatkowe info, czekam na odp. Mój nr z kluczyka: 06116 i linijka niżej: sN2TN Z góry dzięki za odp. Pozdrawiam.

    Samochody Początkujący   26 Maj 2015 13:47 Odpowiedzi: 3    Wyświetleń: 1296
  • skoda/octavia 1/2002 - kodowanie pilota nie kluczyków po zmianie batrii

    Cześć mam problem z PILOTEM do centralnego zamka skody octavia 1 1,9 dti 2002r. Nie mogę odszukać informacji która by mi pomagał, a mianowicie kiedyś w pilocie przyczepionym na łańcuszku do klucza ( pilot nie jest kluczykiem) rozładowała mi sie bateria, wiec wymieniłem ale zamek nie reagował pomimo że dioda na pilocie mrugała, wiec sobie wiozłem drugi...

    Samochody Elektryka i elektronika   16 Paź 2015 11:04 Odpowiedzi: 4    Wyświetleń: 7599
  • REKLAMA
  • SEAT CORDOBA Kodowanie pilota w kluczyku

    No to tym zwykłym kablem dopisz kluczyk

    Samochody Elektryka i elektronika   16 Lis 2020 21:46 Odpowiedzi: 27    Wyświetleń: 54414
  • Nissan Almera - problem z kodowaniem transpondera w kluczyku

    Witam i proszę o pomoc . Mam problem z kodowaniem chipa w kluczyku . Dokupiony tak zwany chip 0 i dorobiony kluczyk . Jedyny działający kluczyk jest nadłamany . Sam program Maxiecu działa chyba prawidłowo , jednak patrząc na opisy jak szukać numeru wersji modułu i kodu pin są inne niż w instrukcjach. Naklejka na module z numerami jest zupełnie inna...

    Samochody Początkujący   03 Gru 2022 18:33 Odpowiedzi: 6    Wyświetleń: 381
  • Chrysler Grand Voyager - zaprogramowanie dodatkowego kluczyka

    Kupiłem niedawno '02 Chryslera GV z jednym pilotem i kluczykiem. Chciałbym dorobić drugi kluczyk tak na wszelki wypadek. W kluczyku jest transponder imo, dzwoniąc do zakładu gdzie dorabiają kluczyki, cena około250zł za dorobienie i zaprogramowanie kluczyka. Ponieważ mam możliwość zakupienia surowego kluczyka z transponderem w USA, na moje pytanie ile...

    Samochody Zabezpieczenia   08 Lip 2018 10:21 Odpowiedzi: 3    Wyświetleń: 2502
  • Focus MK1 _ kluczyk matka (zamiast czerwonego niebieski

    Niebieska kropka w kluczyku oznacza kod drugiej generacji a nie klucz matke, jest możliwość wkodowania i dorobienia takiego klucza ale tylko za pomocom serwisowego urządzenia diagnostycznego zwanego WDS. Kod pierwszej generacji miał oznaczone kluczyki czerwoną kropką i posiadał jeden cały czerwony klucz zwany matką, który był potrzebny do procedury...

    Samochody Zabezpieczenia   13 Lip 2010 06:40 Odpowiedzi: 2    Wyświetleń: 4227
  • pilot w kluczyku renault laguna 99'

    Witam, po wymianie akumulatora mam problem - przestał mi działać kluczyk centralnego zamka. Centralny zamek z konsoli wewnątrz auta działa - nie działają jedynie w kluczyku. Auto: Renault Thalia z 2001r. Próbowałem postęować wg znalezionych w internecie procedur 'kodowania' na nowo kluczyka, ale w ogóle nie odpowiada. Wymieniłem też baterię w kluczyku...

    Samochody Elektryka i elektronika   02 Lut 2010 12:11 Odpowiedzi: 13    Wyświetleń: 20645
  • Fiat Code, tylko kluczyk matka, co z tym dalej??

    otwarcie komunikacji kluczem matka otwiera proces kodowania nowych kluczy i stare zostaja wyrzucone z pamieci sterownika jesli zamkniesz proces uczenia kluczy, poniewaz standartowo koduje sie dwa wiec raczej pamiec sterownika nie jest zajeta mozna jednorazowo wrzucic ich siedem w twoim przypadku mozesz miec uwalony ktorys nowy kluczyk lub na skutek...

    Samochody Elektryka i elektronika   20 Lis 2006 17:39 Odpowiedzi: 8    Wyświetleń: 22237
  • drugi klucz z immobilaiserem

    Trochę droga ta impreza jak za sam "twardy kluczyk " bez pilota .Potem żeby dopisać transponder do immobilisera musisz udać się do jakiegoś elektronika samochodowego Max 200 złotych powinieneś zapłacić- jeśli nie masz pin kodu. ( cena kodowania transpondera ) Chyba że musisz mieć pilota w oprawce klucza . Jeżeli klucznik podał ci cenę kluczyka z pilotem...

    Samochody Elektryka i elektronika   25 Kwi 2011 11:39 Odpowiedzi: 3    Wyświetleń: 1425
  • Seicento skradziony kluczyk

    Witam. Skradziono mi kluczyk do Fiata Seicento 900 rok prod. 2002. Zapasowy niebieski kluczyk (skradziony kluczyk również był niebieski) i kartę kodująca mam. Jak w tej sytuacji najtańszym kosztem zmienić kluczyk? 1) Czy muszę zakupić całą nową stacyjkę czy wystarczy wkładka? 2) Co w takiej sytuacji z kluczykami? Czy nowe kluczyki jestem w stanie sama...

    Samochody Elektryka i elektronika   02 Cze 2010 08:54 Odpowiedzi: 3    Wyświetleń: 2804
  • kluczyk "scyzoryk" 433MHz do Golfa IV

    Odpowiedziałeś sobie na pytanie sam a kluczyk w serwisie nikt nie każe ci kodować cena klucza to ok 400 więc nie wiem czy warto mieć przez chwilę nowy klucz ....

    Samochody Elektryka i elektronika   08 Mar 2008 10:54 Odpowiedzi: 1    Wyświetleń: 1871
  • Synchronizacja kluczyków Skoda Fabia Combi

    programowałem taki klucz od pasata niedawno jutro napisze ci jaka jest procedura kodowania tego klucza napisz mi tylko jak tamten próbował

    Samochody Elektryka i elektronika   14 Kwi 2012 20:28 Odpowiedzi: 15    Wyświetleń: 36166
  • Fiat Brava 1996 - Fiat Brava 1996 1,4 brak kluczyka master oraz karty CODE.

    Do niczego, służy wyłącznie do kodowania nowych kluczy do centralki CODE... no i jak czasem wylecą niebieskie z pamięci centralki to żeby je z powrotem wpisać.

    Samochody Elektryka i elektronika   30 Maj 2013 21:23 Odpowiedzi: 11    Wyświetleń: 4482
  • Audi A4 B5 FL - Rekodowanie kluczyków

    Kodując nowe klucze do immo stare zostaną usunięte z pamięci.

    Samochody Elektryka i elektronika   04 Lip 2013 10:03 Odpowiedzi: 6    Wyświetleń: 2097
  • Co zrobić gdy zgubiłem kluczyk ? Renault Kangoo 1.5 DCi Pilnie!

    wyślij im bsi/uch i gotowe

    Samochody Początkujący   25 Sie 2016 13:29 Odpowiedzi: 7    Wyświetleń: 2676
  • Auto-alarm cobra 3178 i oryginalny kluczyk

    Czy ten alarm powinien działać z kluczykiem forda mondeo MK3 (ten jajowaty z 3 przyciskami). Centralny działa z niego ok, ale alarm się nie uzbraja. Czy to jest normalne, czy jakiś błąd i trzeba np. kodować od nowa kluczyk z alarmem? Zapasowy kluczyk z zawieszką do alarmu działa ok (zamyka zamki i uzbraja alarm).

    Samochody Zabezpieczenia   19 Wrz 2012 08:12 Odpowiedzi: 9    Wyświetleń: 4165
  • Skoda Fabia 2 - Dorabianie kluczyka z pilotem .

    Mam Fabię 2 rocznik 2008 .Mam jeden kluczyk z pilotem dorobiłem 2 kluczyk i mam go zakodować .Cena kodowania 200zł .W kluczyku co mam są wyrobione przyciski .N a alegro są 2 piloty z elektroniką za 80 zł .Pisze że wystarczy prze lutować wsad .Co o tym myślicie .

    Samochody Zabezpieczenia   20 Maj 2018 14:30 Odpowiedzi: 2    Wyświetleń: 1983
  • JAK ZAKODOWAC KLUCZE W VW VAG-COMEM?

    jak sie koduje te klucze vag-comem lub vw tool ?przy wlaczonym zaplonie? po jakim czasie 2 klucz?jak to dokladnie wyglada zeby nie skopac? dzieki pozdrowionka:)

    Samochody Elektryka i elektronika   16 Lip 2003 09:59 Odpowiedzi: 1    Wyświetleń: 1808
  • df6000cr. Co się stanie po wpisaniu kluczy w systemach bez zmiany softu?

    koledzy,jesli uaktywnie emu kodem 7777 to pojawia sie systemy kodowania i klucze.mam pytanie w zwiazku z tym.jesli wpisze klucze w systemach bez zmiany softu to zaswieci cos???czy zmiana softu jest konieczna?tuner jest z orginalnym oprogramowaniem.

    SAT Serwis   12 Lut 2007 17:34 Odpowiedzi: 2    Wyświetleń: 954
  • Lancia zeta 2000 - oryginalne kluczyki nie działają, jeden uruchamia auto

    Jeden kluczyk to tzw master (przeważnie w fiatach jest koloru czerwonego ) reszta Ci się rozkodowała .. Poszukaj o kodowaniu pilotów i kluczyków w fiatach (brava itp ) .Nie będziesz potrzebował dodatkowych centralek ...

    Samochody Elektryka i elektronika   21 Kwi 2013 22:20 Odpowiedzi: 4    Wyświetleń: 2052
  • audi a4 b5 99r. pilot w kluczyku nie otwiera drzwi

    O kodowaniu i sprawdzeniu kluczyka było wiele razy poszukaj.

    Samochody Początkujący   26 Lis 2014 20:01 Odpowiedzi: 10    Wyświetleń: 7626
  • Włącznik na kluczyk (bez przekręcania)

    To nie może być kluczyk,ale wtyk kodowany.

    Komputery Modding & OC   05 Sty 2015 12:22 Odpowiedzi: 11    Wyświetleń: 1305
  • Lancia Ypsilon Multijet kodowanie pilota

    Witam. Chciałbym zapytać o kodowanie pilota oraz kluczyka w Lancii Ypsilon Multijet. Pilot rozkodował się, prawdopodobnie gdy akumulator padł i nie wiem jak ponownie go zakodować. Pilot posiada funkcję zdalnego otwarcia / zamknięcia zamka centralnego, oraz zdalne otwarcie bagażnika. Czy posiada ktoś jakąś instrukcję, jak to zrobić?

    Samochody Początkujący   25 Mar 2017 11:37 Odpowiedzi: 0    Wyświetleń: 1194
  • Kod do kodowania licznika/komputera pokładowego - Opel Vivaro 2016 1.6 Biturbo 120KM

    Jest tylko pin którym się koduje komponenty , klucze , i który jest używany przy części operacji serwisowych. Ja na twoim miejscy pojechał bym do autoryzowanego serwisu Opla i sprawdził auto pod względem elektronicznym , masz prawo je sprawdzić , a przebieg jest nie tylko w liczniku , ale również może być w sterowniku silnika . Jeśli ktoś wymieniał...

    Samochody Elektryka i elektronika   18 Sty 2022 23:17 Odpowiedzi: 10    Wyświetleń: 378
  • Audi A4 B5 Sedan - Nie działa centralny zamek - podmieniona pompka i kluczyk

    Jak w temacie. Od kupna samochodu borykam się z problemem niedziałającego centralnego zamka z pilota(scyzoryk z 3 przyciskami). Moja pompka: 8D0.862.257 B Pilot: 4D0 837 231 A - 433,92 MHz czyli wszystko powinno współgrać. Początkowo VCDS lite nie wykrywał sygnałów wysyłanych przez pilot, więc zmieniłem kodowanie pompki na 08192 pomogło! pilot wykrywalny...

    Samochody Zabezpieczenia   27 Lis 2015 19:44 Odpowiedzi: 0    Wyświetleń: 8289
  • Peugeot 307 nie widzi kluczy - Immo nie widzi klucza

    Witam mam problem z pegeotem 307 mianowicie immo nie widzi kluczyka. W pp2000 w bsi status kalkulatora silnika zablokowany i nierozpoznano transpordera. Wyciągnąłem Pin z bsi i przy próbie dopisania klucza wyrzuca mi błąd komunikacji z kluczykiem. Mam drugi kluczyk i jest to samo ale podejrzewam że są jednkrotnego kodowania a on jest z innego auta.

    Samochody Elektryka i elektronika   21 Sty 2019 20:23 Odpowiedzi: 4    Wyświetleń: 1839
  • Audi A6 C6 - Problem z otwieraniem drzwi z kluczyka mechanicznie.

    Ja jestem właśnie po tym zabiegu. Mogę wysłać zamek i wkładkę za darmo jeśli chcesz aczkolwiek nic u mnie to nie zmienilo. Ogólnie zmieniłem drzwi kierowcy (stłuczka) po jakiś dwóch miesiącach auto zaczęło samo się otwierać a przy tym szyby opuszczać. Wstawiłem do elektryka, który doszedł do tego że pilot ma zwarcie więc nie myśląc dlugi wyciągnąłem...

    Samochody Zabezpieczenia   15 Wrz 2023 09:47 Odpowiedzi: 4    Wyświetleń: 2247
  • Toyota corolla verso 1.8 2004 Nie działa kluczyk.

    Witam mam problem . Mianowicie kupiłem nowa obudowę do kluczyka postanowiłem zmienić środek sam czyli odłączyłem baterię wyjąłem układ scalony i zamieniłem obudowy operacja trwała ok 30-40 s. Po złożeniu okazało się ze centralny działa ale niestety immobilizer już nie w ogóle nie reaguje . Czy jest możliwość naprawienia samemu czy jest to proces nieodwracalny...

    Samochody Początkujący   29 Wrz 2016 20:53 Odpowiedzi: 2    Wyświetleń: 3468
  • Jak zakodować kluczyk po wymianie baterii Seat Alhambra 97r

    Po pierwsze opcja szukaj :) A to opis dla wszystkich zeby juz takich prostych pytan nie było 2.2.2Dla modeli z immobilizerem w zestawie wskaźników Funkcja 17 – zestaw wskaźników Funkcja 11 – login (wpisujemy czterocyfrowy tajny kod immobilizera, poprzedzamy do zerem) Funkcja 10 – dopasowanie Kanał 21 Cyfra w prawym górnym rogu „2”...

    Samochody Elektryka i elektronika   20 Lut 2009 21:39 Odpowiedzi: 2    Wyświetleń: 27199
  • WV Bora 2000 1.9TDi - Kluczyk po zmianie baterii jak zap. ?

    Jest to kopia cudzego postu :-) (dużo pisania) 2.2.2 Dla modeli z immobilizerem w zestawie wskaźników Funkcja 17 – zestaw wskaźników Funkcja 11 – login (wpisujemy czterocyfrowy tajny kod immobilizera, poprzedzamy do zerem) Funkcja 10 – dopasowanie Kanał 21 Cyfra w prawym górnym rogu „2” oznacza ilość kluczyków którą możemy...

    Samochody Elektryka i elektronika   26 Lut 2009 20:43 Odpowiedzi: 3    Wyświetleń: 9000
  • Problem po zakodawniu kluczyka Sharan 1.9 tdi 97 r

    witam . po zakodowaniu kluczyka samochód zamyka drzwi ,ale jak go chcem odpalić to lamka w drzwiach kierowcy miga cały czas , i co odpale to zgaśnie tak jak by imo się nie rozłanczło, proszę o pomoc , podaje sposób w jaki kodowałem kluczyk - 1.Kluczyk w zamek od drzwi kierowcy. 2. 3 razy przekręcić w lewo (każde przekręcenie min. po 0,5 sekundy ale...

    Samochody Początkujący   31 Maj 2009 12:17 Odpowiedzi: 1    Wyświetleń: 2244
  • Citroen Berlingo - Nie można przyuczyć kluczy...

    Witam. Samochód z 2006r, 1,6 HDI. Auto stało bez przedniej szyby, lekko przykryte plandeką około roku. Zalane zostało min. BSI, które zgniło i padło. Podłożyłem używkę o takich samych numerach. Wyciągnąłem PIN, zrobiłem telekodowanie, później identyfikacja i kodowanie kluczy i wszystko by było ok. gdyby dało się przyuczyć klucze. Niestety lexia wywala...

    Samochody Elektryka i elektronika   04 Sie 2013 23:11 Odpowiedzi: 3    Wyświetleń: 2124
  • Fiat Stilo problem z 2 kluczykiem

    Witam. Posiadam Fiata Stilo 2004r 1.9jtd. Wraz z zakupem auta dostałem 2 kluczyki. Jeden zwyczajny scyzoryk, a drugi to z 3 guzikami, ale też scyzoryk. Sprzedawca poinformował mnie że nie działa ten 2 klucz, ale ON nie wiem dlaczego. Więc teraz tak, czego może być to winą? Baterię wymieniałem. Podejrzewam, że jest nie za kodowany, bądź się rozkodował....

    Samochody Zabezpieczenia   23 Gru 2007 04:17 Odpowiedzi: 3    Wyświetleń: 5915
  • dorobienie kluczyka albo pilota do alarmu fabrycznego

    idz do serwisu z dowodem rejestracyjnym, dowodem osobistym to dorobia ci i zakoduja ile chcesz kluczykow. zwykly kluczyk kosztuje 180 zl, kodowanie 150 pozdrawiam

    Samochody Elektryka i elektronika   04 Sie 2010 20:28 Odpowiedzi: 10    Wyświetleń: 14115
  • Renault Twingo 2001 - Nie działający kluczyk

    Witam W sumie sprawa nie działającego kluczyka w Twingo już była omawiana, ale pozwole sobie założyć mój wątek. Twingo z 2001r z pilotem radiowym . Do samochodu posiadamy dwa kluczyki i w sumie z odpalaniem (imobilajzerem) mieliśmy już problem od dłuższego czasu, ale z otwarciem i zamknięciem samochodu nigdy nie było. Czasami po otwarciu samochodu z...

    Samochody Początkujący   12 Sty 2013 08:14 Odpowiedzi: 2    Wyświetleń: 4650
  • vw immo - po zakodowaniu drugiego kluczyka świeci kontrolka immo ale auto odpala

    jesli klucze byly by za blisko to wcale by nie odpalil. Przeprowadziles nie poprawnie procedure kodowania kluczy. I procedura nie zostala zakonczona. Albo uzyj VCDS albo sprobuj zakodowac 1 klucz. bo moze dopisujesz dodadkowo 2 klucze do tego ktory juz jest a nie programujesz 2 noweklucze.

    Samochody Elektryka i elektronika   20 Lut 2015 05:51 Odpowiedzi: 19    Wyświetleń: 4842
  • Hyunday ix55, system bez kluczykowy - centralny nie reaguje z pilota

    Mozliwe że jest problem z anteną odbioru systemu bezkluczykowego lub ktoś wyprogramował tą funkcje w obawie przed kradzieżą Anteny są w klamkach i w tylnym zderzaku. Rozebrałem klamkę i nie wiem ale nie sądzę żeby była uszkodzona. łapała by chociaż jedna. Po rozebraniu klamki wszystko jak nowe, zero brudu i korozji. Naczytałem się dużo rosyjskich forum...

    Samochody Zabezpieczenia   10 Mar 2018 19:09 Odpowiedzi: 2    Wyświetleń: 5964
  • Kodowanie pilota Sharan 1.9TDI 1999r.

    Witam Mam problem z zakodowaniem kluczyka. Wiem że ten temat był już kilka razy wałkowany ale nie znalazłem tam takiego przypadku jak mój. A mianowicie, po zakupie auta otwierałem je ręcznie ponieważ w kluczu nie było baterii. Kupiłem więc nową baterię i po założeniu dioda w kluczu po naciśnięciu przycisku bardzo słabo daje znać. Próbowałem też zakodować...

    Samochody Elektryka i elektronika   07 Lut 2011 17:33 Odpowiedzi: 2    Wyświetleń: 3728
  • bmw e36 318 tds, nie kręci, kluczyki 2 dni w stacyjce

    Na przyszłość - dla osób z podobnym problemem: Rozkodował się transponder w kluczyku. Moje rozwiązanie: podmiana DME, EWS i pestki w kluczyku - koszt 200 zł ( w Toruniu aż 2 osoby oferują kodowanie klucza do bmw - koszt 400 zł)

    Samochody Początkujący   17 Lip 2011 08:58 Odpowiedzi: 4    Wyświetleń: 3374
  • Corsa C Z12XE - kręci rozrusznik ale nie odpala - miga samochód z kluczem- Błąd 1612

    Dzięki kolego za podpowiedz autko stało 5 tygodni po czym odpaliło bez problemu. Przejechałem 5 metrów zgasiłem. Chciałem odpalić za 5 minut i już się nie udało. kręcił aż padł akumulator. Też tak myślałem na początku ale na obydwu kluczykach jest to samo. Odłączyłem akumulator na 2 dni i nic to nie pomogło. Poza tym czy pompa paliwa by się załączyła...

    Samochody Elektryka i elektronika   27 Lut 2023 11:57 Odpowiedzi: 14    Wyświetleń: 1161
  • kodowanie scyzoryka golf IV

    Po wymianie baterii w swoim kluczu nie dziala centralny zamek z przyciskow w kluczyku. Jak mozna to odkodowac?? Dodam ze probowalem juz kilku czynnosci i nic.. Jezeli ma ktos jakis dokladny przepis jak to zrobic to prosze o pomoc. VW Golf IV 98 rok. Pozdrawiam!

    Samochody Elektryka i elektronika   03 Mar 2008 12:08 Odpowiedzi: 1    Wyświetleń: 3313
  • [Sprzedam] Oryginalne kluczyki/piloty do samochodów marki FORD, AUDI

    Witam, Oferuje szeroki wybór oryginalnych kluczy/ pilotów. Klucze Charakteryzują się wysoką sprawnością- każdy z przycisków działa bez zastrzeżeń. Piloty były testowane specjalnym testerem. Są one w 100% sprawne. Na wszystkie kluczyki udzielam 14- dniowej gwarancji rozruchowej. Poniżej specyfikacja każdego kluczyka. 1. Cena: 89 zł/ szt W zestawie znajduje...

    Samochody Bazar   07 Sty 2016 19:34 Odpowiedzi: 0    Wyświetleń: 12024
  • Jak dokodować kluczyk do Peugeot Boxer 2.2 2011r? Problem z FVDI 2014 i BSI

    Jedź do salonu Peugeot i kup nalepkę z kodem za 27złi będziesz miał pin do kodowania kluczy.

    Samochody Elektryka i elektronika   24 Sie 2019 19:39 Odpowiedzi: 5    Wyświetleń: 1569
  • CLIO 2 1.5 DCi "programowanie nowego kluczyka"

    W tym roczniku to już powinien być chip immo zintegrowany z nadajnikiem centralnego - PCF7946/47. Jeśli jest taki, to żadna procedura douczenia CZ nie zadziała na nowym/używanym kluczyku. Kodowanie klucza do tej Clio II ph2 to koszt około300-350pln. Jak byś miał bliżej, to temat do ogarnięcia z lekkim rabatem. Ze sprzętów to raczej ich cena przewyższa...

    Samochody Elektryka i elektronika   15 Maj 2020 15:57 Odpowiedzi: 7    Wyświetleń: 17862
  • Otwieranie zamków z kluczyka w Passacie B5 1.9tdi 90km 98r - opcja pilota, sparowanie

    Tylko w fabryce kodują moduł komfortu z kluczykiem. ALE da się to zrobić samemu tylko jest to dosyć trudne. W tym filmie jest wszystko pokazane nawet wybór dobrego kluczyka! W tym filmie pokazuje on jak to wszystko zrobić. Jeśli chcesz to zrobić to rób to samo co on na filmie Oby passacik jeździł! Pochwal się- jaki silnik? :D Dodano po 2 Kolego pain97-...

    Samochody Początkujący   03 Mar 2021 10:25 Odpowiedzi: 5    Wyświetleń: 285
  • Punto - kluczyki - immobilajzer

    z opisu wynika że jest to punto 1 wymień wkładkę w stacyjce /odkręć trzy wkręty na imbus osłonę pod kierownicą.wsaðż stary kluczyk przekręć w połżenie zapłon w stacyjce będzie dzyndzel podważ go śrubokrętem iwyjmij wkładkę.włóż nową wkładkę wyłącz zapłon/stara wkładka może wychodzić z lekkim oporem/następnie rozbierz klucz matkę /kolor brązowy/...

    Samochody Elektryka i elektronika   16 Kwi 2010 22:48 Odpowiedzi: 13    Wyświetleń: 6188
  • Ferguson i kanały kodowane...

    mysle ze dyskutowanie na te tematy nie jest nielegalne dlatego tez powiem kilka slow... otorz moj poprzednik ma calkowita racje ze twoje pytanie jest bardziej tendencyjne...odpowiedz jest prosta kanaly kodowane na fergusonach pochodza tyle ile operatorzy beda dawac je ogladac... jesli chodzi o polsat to juz nie pamietam ostatniego ataku...klucze zmieniane...

    SAT Serwis   28 Lut 2005 22:45 Odpowiedzi: 3    Wyświetleń: 3813
  • Seat Arosa 2001r. - rozkodowany kluczyk

    Od niedawna jestem posiadaczem Seata Arosa. Auto kupilem z dwoma kluczykami-jeden z pilotem drugi bez. Problem jest taki,ze kluczem bez pilota moge otworzyc auto i uruchomic a klucz z pilotem otwiera zdalnie i manualnie ale niechce uruchomic auta.Slyszalem o jakims kodowaniu klucza,ale jak to zrobic?

    Samochody Zabezpieczenia   20 Wrz 2012 13:45 Odpowiedzi: 1    Wyświetleń: 2598
  • Seat Toledo II Dopisanie kluczyka do immobilizera

    Wracając do mojego pytania dotyczącego odpalania dwóch aut jednym kluczykiem, to się nie da(podobno przy dopisaniu klucza do innego auta zmienia się kodowanie immo) Serwis nie chętnie chce podać kodu pin do immobilizera zasłaniając się brakiem takich adnotacji w papierach(byłem w dwóch różnych serwisach w poznaniu), dorabiają kluczyki poprzez łączenie...

    Samochody Początkujący   15 Lip 2016 20:43 Odpowiedzi: 4    Wyświetleń: 3105
  • Zakodowanie kluczykow do Passata '03

    witam !!! mam podobny problem z seatem toledo 99r 1,9 tdi komputer jest od innego auta licznik od innego a kluczyki trzeba dorobic jak to zrobic aby się nienarobic vag ma zrobic i zarobic???????? Wejdź tu: Tam dość często i dużo o tym piszą choć niechętnie dzielą się wiedzą z nowymi, jakby sami urodzili sie fachowcami. :D Raczej przyglądają się. Poszukaj,...

    Samochody Zabezpieczenia   27 Lip 2005 19:35 Odpowiedzi: 14    Wyświetleń: 4764
  • Passat B6 - kodowanie pilota webasto.

    Witam. Kupiłem pasata b6 ktory ma zamontowane webassto. nie mam zadnego sterownika w kabinie, lecz z tego co wyczytalem potrzebuje pilot do niego. Moje pytanie jest takie. czy moge zakupić taki pilot i bedzie dzialać czy musi byc typowo z napisem webasto Poza tym mam dwa piloty od otwierania samochodu z czym jeden mi sie rozkodował i nie otwiera samochodu...

    Samochody Elektryka i elektronika   19 Gru 2011 22:18 Odpowiedzi: 6    Wyświetleń: 11157
  • Toyota Avensis - Nie działa centralny zamek z pilota w kluczyku.

    Instrukcja kodowania kluczyka toyota Avensis t 22 w załączniku.

    Samochody Zabezpieczenia   19 Gru 2018 20:57 Odpowiedzi: 0    Wyświetleń: 2412
  • Licznik na stole i dokodowanie kluczyków - 1U0 920 841 B

    jak rozumie to robisz to 11,10 i pozniej 21 to dziwne ze tak ci sie robi bo juz kilka razy kodowałem same klucze bez sterownika [11] - Logowanie przez PIN [10] - Adaptacja -> 21 kanał Czy dobrze zrozumiałem? Jeżeli tak, to dokładnie tak to robię. Nie wiem dlaczego nie mogę wpisać nowej ilości kluczy. Podane jest, że zaprogramowanych jest 1.

    Samochody Elektryka i elektronika   16 Sty 2009 11:06 Odpowiedzi: 7    Wyświetleń: 3970
  • Immo seicento IAW 4AF.M9. Zaprogramowanie nowego kluczyka.

    Czy aby samochod chodzil na czystym sterowniku wystarczy odlaczyc wtyczke od centralki immo? Nie, trzeba jeszcze zmienić program w samym sterowniku,tak żeby działał bez sygnału immo Druga rzecz. Zdaje sie ze jak sie podlaczy czysty sterownik to on sie programuje. czy da sie tak zaprogramowac nowy kluczyk czy kluczyk trzeba wgrywac do centralki immo?...

    Samochody Elektryka i elektronika   17 Lut 2009 14:13 Odpowiedzi: 3    Wyświetleń: 4934
  • Citroen Xsara Picasso - nie działa centralny zamek z kluczyka.

    Samochód z 2001r 2.0Hdi i nie działa w nim centralny z kluczyka. Byłem w serwisie na kodowanie kluczyka i powiedzieli, że problem z BSI. Wymienić BSi mi mogą ale cena "trochę" zaporowa. Jak rozwiązać taki problem, aby nie pójść z torbami? Zawsze mogę dołożyć do samochodu sterownik do centralnego i podpiąć go pod przycisk, ale to już jak dla mnie rozwiązanie...

    Samochody Elektryka i elektronika   25 Paź 2013 10:38 Odpowiedzi: 17    Wyświetleń: 51785
  • reno clio 1,2 problem z kluczykiem

    witam jestem uzytkownikiem samochodu renault clio 1,2 rok prod 1997 poliftingu. od paru dniu borykjam sie z problemem otwarcia samochodu z pilota i tymsamym odpaleniem tego auta. dodam ze od pewnego czasu nie zawsze zaskakiwal pilot do otwarcia tych drzwi musialem kilka razy kliknac zeby zaskoczyly dzisiaj calkowiecie odmowil posluszenstwa. pierwsze...

    Samochody Elektryka i elektronika   13 Wrz 2010 07:23 Odpowiedzi: 5    Wyświetleń: 2330
  • Kodowanie centralnego zamka w Citroen c4 2005

    Witam. Mam problem z central zamkiem, który nie działa po wciśnięciu przycisku na kluczyku. Wygląda, jakby się rozkodował, natomiast mechanicznie zamykam wszystkie drzwi od strony kierowcy. Jak koduje się kluczyk, czy mogę zrobić to sam, czy muszę jechać na tester?

    Samochody Elektryka i elektronika   19 Paź 2010 10:56 Odpowiedzi: 1    Wyświetleń: 4845
  • Mercedes C180 - wymiana baterii w kluczyku i programowanie

    Skontaktuj się z serwisem bądź z ludkami zajmującymi się kodowaniem kluczyków.

    Samochody Zabezpieczenia   19 Kwi 2013 10:58 Odpowiedzi: 6    Wyświetleń: 25148
  • Jak zakodować kluczyk Passat b5 1997 rok

    Witam. Jeśli chodzi o programowanie dodatkowego kluczyka do VW B5 to tylko komputer, przez kabel VAG-owski. Kabel nie jest drogi i można samemu zakodować. Odnośnie kodowania kluczyka odsyłam do obszernego forum nie możesz otworzyć drzwi dodatkowym kluczykiem, to obstawiam (...) brak immobilisera w kluczyku Kolego

    Samochody Zabezpieczenia   05 Lut 2012 15:08 Odpowiedzi: 4    Wyświetleń: 21930
  • Renault megan scenic 1.9dti kluczyk.

    Kolego to nic się nie dzieje jak przykładam pilota do aparatu wiesz nie ma reakcji a ja wyjąłem baterie wiesz i to się stało właśnie. Dodano po 5 sprawdzilem odlutowala mi sie dioda znalazlem usterke teraz napewno mi sie rozkoduje ale to juz mam nadzieje zakoduje a napisze ktos dla przypomnienia instrukcje kodowania kluczyka

    Samochody Początkujący   27 Maj 2013 19:15 Odpowiedzi: 3    Wyświetleń: 2196
  • vw passat b6 - Problem z zasięgiem kluczyka

    Witam, kluczyk/pilot w moim passacie stracił zasięg, mimo wymiany baterii działa powiedzmy maks z odległości 50 cm. Drugi kluczyk działa ok, z tego wnioskuję, że wina leży w samym kluczyku. Czy istnieje możliwość naprawy takiej usterki? Czy możecie polecić mi kogoś w Trójmieście kto by się tym zajął, ewentualnie w Polsce - wysyłkowo może da radę coś...

    Samochody Elektryka i elektronika   08 Lut 2015 11:49 Odpowiedzi: 1    Wyświetleń: 2721
  • Volkswagen crafter 2009 nie dziala stacyjka brak reakcji na kluczyk

    mamy speca od immo i kodowania kluczykow a raczej 2 specjalistki moze to cos dla nich?? jesli mamy kluczyk jest szansa ze uda im sie zaprogramowac?? Dodano po 1 problem jest taki ze w Londynie jestesmy i ciezko tu kogos znalezc:)

    Samochody Początkujący   13 Gru 2016 18:22 Odpowiedzi: 10    Wyświetleń: 13830
  • Saab/9-3 2,2/2003r - Centralny zamek z kluczyka nie działa / Kluczyk odpala auto

    Witam, mam taki problem w Saab 9-3 2,2 TiD z 2003r. W kluczyku odgięła i ułamała mi się blaszka stykająca baterię, przez co przez około 1-2 tyg nie mogłem używać centralnego zamka z kluczyka. Po ponownym wlutowaniu jej i wymianie baterii przyciski na kluczyku nie działają nadal. Kluczyk został sprawdzony przez elektronika i działa prawidłowo tzn emituje...

    Samochody Elektryka i elektronika   08 Maj 2015 13:41 Odpowiedzi: 1    Wyświetleń: 6252
  • Honda civic VI gen. Zgubiony kluczyk. Immo

    Czy elektryk coś na to poradzi? niestety nie, jedynie fachman od kodowania, immo jest w kompie.

    Samochody Początkujący   16 Sie 2015 22:48 Odpowiedzi: 4    Wyświetleń: 2205
  • Mercedes Vito 2003r - Programowanie kluczyka nie działa także przycisk zamykania

    Dziękuję za moralne i życiowe porady ale sorki to chyba nie to forum. Wydaję mi się że to jest jednak techniczne forum i jak ktoś ma jakiś problem to może zadać pytanie i oczekiwać sugestii która pomoże w rozwiązaniu problemu. Posty w postaci "mam ale nie powiem" lub "udaj się do fachowca" nic nie wnoszą. Wydaję mi się że pytanie było sformowane prosto...

    Samochody Szukam   10 Wrz 2015 08:49 Odpowiedzi: 5    Wyświetleń: 4785
  • Seat ibiza 2004r nie działa centralny zamek z pilota i z kluczyka.

    Dzięki za odpowiedz, centralka na pewno oryginalna pilot w kluczyku 3 miesiące temu kodowałem dodatkowy kluczyk vcds-em i wszystko działało teraz brak reakcji z pilota, kluczyka i guzika wewnątrz, pozdr.

    Samochody Początkujący   04 Paź 2020 16:37 Odpowiedzi: 4    Wyświetleń: 1608
  • Kodowanie immobilisera. Szukam namiarów na firmę.

    Poszukuję namiaru na jakąś solidną firmę zajmującą się kodowaniem immobiliserów, dorabianiem kluczy. Dziekuje za informację.

    Samochody Elektryka i elektronika   04 Lut 2005 23:00 Odpowiedzi: 3    Wyświetleń: 1720
  • Problem Z Centralnym. Zaporgramowanie Kluczyka. Marea 1.6

    Z FIATowskich tylko IR dało się kodować bez karty...

    Samochody Zabezpieczenia   07 Lip 2007 22:46 Odpowiedzi: 13    Wyświetleń: 2503
  • golf Mk3 Dorobienie kluczyka - problem

    Witam. Mam golfa mk 3 z 96 roku i mam wyłącznie jeden kluczyk. Potrzebuje dorobić kluczyk tylko mam kilka pytań. Pewnie mam kodowany kluczyk z stałym kodem (nie jestem pewien) Dostałem kilka blaszek z grawerem jedna zaczyna się od np: W150740 Druga np: B062846 trzecia jest plastikowa i zaczyna się na AH i są cztery cyfry od 0 do 9 Która mówi o kodzie...

    Samochody Elektryka i elektronika   16 Kwi 2009 20:44 Odpowiedzi: 6    Wyświetleń: 1506
  • Vw, Passat b5 lift, rozkodowany kluczyk

    Witam Może padła bateria w kluczyku. Jeżeli bateria nowa to poniżej masz procedurę kodowania: Jeżeli pilot sprawny to zakoduj go ponownie i ma być.

    Samochody Elektryka i elektronika   09 Lut 2010 21:48 Odpowiedzi: 3    Wyświetleń: 13290
  • Renault clio I 1.2 energy 95 rok problem z kluczykiem

    Niestety nie udało mi się znaleźć żadnego postu, który pomógłby mi rozwiązać problem z immo. Wtedy zadzwoniłem do autoryzowanego serwisu RENAULT gdzie już w rozmowie telefonicznej podano mi koszt kodowania kluczyka to:220 zł więc umówiłem się do Reno Zdunek w Gdańsku podając nr VIN oraz rok prod. Podstawiłem Auto na 9:15 i czekałem do 11:30 ażeby sie...

    Samochody Elektryka i elektronika   10 Paź 2010 12:28 Odpowiedzi: 2    Wyświetleń: 3095
  • Renault Megane 1999r 1.9dTi nie koduje immo.

    Jak w temacie. Chcę zacząć kodować immo. Wsiadam do auta i zamykam auto centralnym z kluczyka. Odpalam zapłon, dioda szybko mruga, dusze guzik od centralnego w samochodzie (nie z kluczyka) i za nic nie chce dioda powoli mrugać. Macie jakiś pomysł co zrobić?

    Samochody Początkujący   02 Lut 2012 17:57 Odpowiedzi: 4    Wyświetleń: 3289
  • VW polo 2002r.[9N] 1.4 tdi AMF potrzebne kodowanie Cent.Elect.

    Witam potrzebuje kodowanie ponieważ nie spisałem sobie oryginalnego i teraz nie działa mi centralny w klapie bagażnika to znaczy nie da się otworzyć pociągając za klamkę tylko kluczykiem. Moje polo ma 5 drzwi na wyposażeniu szyby elektryczne z przodu,elektryczne i grzane lusterka i klimatyzacje manualną jeśli ktoś posiada polo z taki wyposażeniem bardzo...

    Samochody Początkujący   30 Maj 2015 17:30 Odpowiedzi: 8    Wyświetleń: 1890
  • BMW E46 316i 1999 r. - Po wymianie baterii w kluczyku nie działa centralny zamek

    Witam. Boryka się z identycznym problemem. Auto nie reaguje na kluczyk. Da się otworzyć drzwi grotem ale przyciskami zero reakcji. Wymieniłem wczoraj akumulator w pilocie gdyż stary już działał tylko po bezpośrednim zbliżeniu do drzwi a w zeszłym tygodniu przestał działać całkowicie. Auto odpala. Próbowałem tez zrobić kodowanie czy adaptacje tego kluczyka...

    Samochody Zabezpieczenia   07 Lip 2018 14:18 Odpowiedzi: 15    Wyświetleń: 16737
  • VW POLO 5 1.6tdi - Kodowanie sterownika ABS . Same zera

    Witajcie.Mam problem z VW Polo 2009r. Potrzebuje kodowania sterownika ABS . obecnie jest 36 zer i wyrzuca kontrolki trakcji i niedopompowania opon i ABS. Zrobiło sie tak gdy zamontowałem zestaw startowy czyli sterwonik silnika ,Zegary i kluczyk. Jeśli jest ktoś kto może mi przeliczyć takie kodowanie to prosze o pomoc.

    Samochody Elektryka i elektronika   05 Paź 2018 16:24 Odpowiedzi: 6    Wyświetleń: 1506
  • Audi A4 B5 2000 - Kluczyk nie odpala samochodu, ikona na desce

    Witam Ratunku. Pezeszukałam tematy, ale nie znalazłam odpowiedzi, więc pozwolę sobie zapytać, a mianowićie : rozleciał mi się pilot, wypadły baterie, złożenie chwilę trwało. Po złożeniu ani pilot, ani kluczyk nie działały. znalazłam sposoby kodowania na forum i przyuczyłam pilota - działa. Natomiast kluczyk nie działa, nie można odpalić samochodu, zdaje...

    Samochody Zabezpieczenia   25 Lut 2019 19:03 Odpowiedzi: 13    Wyświetleń: 1203
  • Peugeot 307 2002 r. - nie można dopisać kluczyka.

    Witam kolegów moje pytanie było takie czy da się do kodować istniejący kluczyk z pilotem do używanego BSI

    Samochody Elektryka i elektronika   21 Maj 2019 08:41 Odpowiedzi: 13    Wyświetleń: 1683
  • Wymiana i kodowanie licznika Jaeger (Magneti Marelli) w Audi A3 8L - brak pinu do immo

    Marka: Audi Model: a3 1.6 2001r. Chassis (płyta lub główne podzespoły): Marelli Matryca/Panel (jeśli występuje): Inne (uwagi): Mam audi a3 8l  Problem jest taki że muszę wymienić licznik , stary był uszkodzony. (zle pokazywał temp. oraz nie dogrzewał termostatu(długa historia)) Nowy licznik kupionn na znanym portalu aukcyjnym  (nazwijmy go nowym ale...

    Samochody Wsady pamięci   18 Lut 2024 18:20 Odpowiedzi: 22    Wyświetleń: 2133
  • Kodowanie starego pilota do wymienionego napędu Hormann

    Dziękuję wszystkim za odpowiedzi i pomoc. Problem został rozwiązany, wróciłem do napędu Hormann Supramatic P3 i tu mam jeszcze jedno pytanie. Posiadam do niego wspomniany pilot Hormann HS5-868-BS, potrzebuje jeszcze dwa piloty do tego napędu, ale ten pilot jest duży i nie można doczepic go do kluczy. Szukam wszędzie zamienników, są małe i można doczepic...

    Automatyka bram, szlabanów, rolet   27 Sty 2022 07:30 Odpowiedzi: 14    Wyświetleń: 1077
  • renault megane coupe brak reakcji z kluczyka na centralny

    Rozkodowany kluczyk. W dziale "samochody serwis" są magicy, którzy wygenerują kod z numeru VIN. Procedura kodowania opisywana wielokrotnie - opcja "szukaj". Pozdrawiam.

    Samochody Zabezpieczenia   19 Kwi 2009 12:32 Odpowiedzi: 1    Wyświetleń: 6357
  • Seat Ibiza 2000r Programowanie orginalnego kluczyka

    Witam. 16 maja 2009 na Elektrodzie jest tematSeat Cordoba 1,9TDI kodowanie kluczyka. Jest tam plik Kluczyki seat. Znajdziecie tam dużo przydatnych informacji do kilku modeli Seata nie tylko na temat kluczyków Pozdrawiam

    Samochody Elektryka i elektronika   05 Mar 2020 11:49 Odpowiedzi: 17    Wyświetleń: 72171
  • czy można samemu zakodować kluczyk immobilajzer

    Witam Czytam na forum że się koduje samemu kluczki do centralnego itp. Ja mam opla omege 2,5tds 96rok Mam jeden kluczyk do niego z immobilizerem, koszt dorobienia zastępczego to minimum 200zł , trochę dziwne stary samochód a taka cena dorobienia kluczyka. Czy można samemu sobie kupić kluczyki i zakodować immobilizer i oczywiście jak?

    Samochody Elektryka i elektronika   05 Wrz 2010 19:16 Odpowiedzi: 1    Wyświetleń: 3444
  • Citroen c3 1.4 hdi programowanie klucza

    Witam. Potrzebowałem dorobić drugi kluczyk. Kupiłem scyzoryk z transponderem i pilotem. Ale podczas procedury kodowania w Lexii zostaje zaprogramowany tylko jeden kluczyk tzn stary, bo wkładam obydwa po kolei. Czy przyczyną może być to że nowy pilot ma trzy przyciski a stary dwa? Podczas testu nowego klucza Lexia pokazuje, że jest łączność z transponderem...

    Samochody Elektryka i elektronika   11 Sie 2011 22:14 Odpowiedzi: 14    Wyświetleń: 23724
  • Elektronika kluczyka IR do megane 1996r

    Witam kolegów. Mam 3 oryginalne kluczyki do meganki z 1996roku. Niestety 1 już nie działa, drugi już ostatkiem sił, ale na szczęście trzeci jeszcze działa. Nie szukam opisu jak kodować kluczyki bo to wiem, sam umieszczałem też na elektrodzie opis, wiem też, że kluczyka od innej maganki czy renaula nie przyuczę do swojej. Moje pytanie brzmi czy gdybym...

    Samochody Elektryka i elektronika   29 Gru 2011 07:12 Odpowiedzi: 10    Wyświetleń: 2338
  • Golf IV - Blokuje się Immobiliser, Kodowanie Nowego Transpordera

    Witam wszystkich mam problem z Immobiliser-em w Golfie 4 czasami potrafi mi się zablokować i po paru próbach włączania zapłonu wk ońcu się odblokuje aczkolwiek bywa i tak że pół dnia idzie zmarnować, Vag wyświetla komunikat: Cewka immobilisera oraz za słaby sygnał imo czy coś w tym stylu.. Niestety nie posiadam 2 kluczyka do samochodu więc nie moge...

    Samochody Początkujący   07 Kwi 2014 22:23 Odpowiedzi: 2    Wyświetleń: 3954
  • Audi A6 C6 2.7tdi 2008r. - Kodowanie sterownika silnika

    Witam muszę przekodować sterownik silnika z samochodu z automatyczna skrzynia multitronik, na manual. Teraz kodowanie jest takie: 0011382 Nie ma pomocnika kodowania w vcds, wiec może ktoś by pomógł jak je zmodyfikować. Czy jednak nie ma opcji przekodowania i trzeba wymienić sterownik silnika, z auta z manualem? Ogólnie auto jest po przekładce automatu...

    Samochody Elektryka i elektronika   22 Gru 2017 20:13 Odpowiedzi: 6    Wyświetleń: 10146
  • Fiat Punto 1.2 .8V - Dorobienie kluczyka

    Auto z 2000 roku .Pilot nie rozbieralny nie widać na desce diody imo.Czy jest potrzebne jakieś kodowanie aby dorobić nowy kluczyk.

    Samochody Elektryka i elektronika   10 Lip 2018 07:34 Odpowiedzi: 2    Wyświetleń: 909
  • Wymiana baterii w pilocie Scenic 1 lift 2001 - czy wymaga kodowania?

    Witam wszystkich serdecznie. Mam scenik 1 lift 2001 r. Silnik 1.6 . Mam mianowicie problem z otwieraniem i zamykanie drzwi. Podejrzewam że pada bateria w kluczyku. I mam takie pytanie czy da się samemu wymienić taką baterię i czy potem należy taki pilot kodowac Nie wiem czy ten pilot jest na podczerwien czy radiowy.

    Samochody Początkujący   18 Sie 2021 20:42 Odpowiedzi: 3    Wyświetleń: 207
  • Wtryski Astra H 1.3 CDTI: Kodowanie wartości podawania paliwa, błąd ECU, lampka kontrolna

    Witam. Mam problem w aucie. Astra h 1.3 CDTI pojawił się błąd który najprawdopodobniej wskazuje na nie zakodowaną wartość podawania paliwa przez wtrysk. Czy ten kod zaznaczony na czerwono to jest kod który się wpisuje w programie op com żeby dodać wtrysk do ECU. Zaznaczę że wtryski nie były wyjmowane. Pojawiła się pomarańczowy lampka auto z kluczykiem....

    Samochody Elektryka i elektronika   13 Lis 2023 20:38 Odpowiedzi: 3    Wyświetleń: 93
  • Clio2 jak dorobić drugi kluczyk z pilotem i immobiliserem

    U nas w centrum Gliwic sa przynajmniej 2 punkty dorabiania kluczy ktore chwala sie mozliwoscia dorobienia kluczy kodowanych... za pewne koszt samego kluczyka i dorobienia wraz z programowaniem bedzie duzo nizszy od kosztow podanych w serwisie... poszukaj u siebie w centrum podobnego punktu... Przykladowo mozesz poszukac w internecie np na allegro (choc...

    Samochody Zabezpieczenia   26 Paź 2005 21:39 Odpowiedzi: 3    Wyświetleń: 12752
  • Kluczyk i centrala w cordobie SX

    te stare piloty na podczerwień są praktycznie nie osiągalne :( tych nowych jest dużo, pilot to tylko bajer... ja bym sie martwił nad immobilizerem bo z tego co wnioskuje masz teraz 1 działający kluczyk, sprawdz czy masz transponder w kluczyku (tym ktory nie działa) coś takiego.... jeśli nie masz jedz tam gdzie dorabiają klucze i zakodują ci go bez problemu,...

    Samochody Elektryka i elektronika   30 Gru 2006 16:24 Odpowiedzi: 12    Wyświetleń: 2135
  • Alarm cobra 0144. Jak się koduje ten alarm?

    Witam Wszystkich. Czy jest ktoś kto wie jak sie koduje alarm Cobra 0144.? Bo od czasu jak mi padł akumulator w RENAULT MEGAN alarm jest cały czas uzbrojony, i nie reaguje na sygnał z kluczyka. Syrena sie załancza,migacze mrugają i dioda koło kierownicy informuje o stanie uzbrojenia.Obecnie żebym mógł swobodnie jeżdzić m ó siałem wyjąć bezpiecznik z...

    Samochody Zabezpieczenia   14 Lis 2007 19:43 Odpowiedzi: 1    Wyświetleń: 5490
  • Naprawa kluczyka Renault Megane 1996

    Witam, Wiem, że temat był - rozwiazania nie działają. Problem zaczal sie od wyprania jedynego kluczyka. Oczywiscie od razu umyty w spirytusie. Co prawda się rozkodował - ale dało się go bez większych problemów zsynchronizować (kod do immobilisera + procedura kodowania). Po jakiś 2 tygodniach, bez widocznej przyczyny przestał otwierać auto. Myślałem,...

    Samochody Elektryka i elektronika   19 Lis 2008 20:01 Odpowiedzi: 2    Wyświetleń: 5318