REKLAMA

kolejny zegarek led atmega8

Znaleziono około 22 wyników dla: kolejny zegarek led atmega8
  • Zegar cyfrowy LED - na trzech elementach czy to możliwe ?

    Witam wszystkich Siedząc w pracy wpadł mi do głowy pomysł czy da się zrobić zegarek cyfrowy LED na zaledwie trzech elementach. W pierwszej chwili myślę - to nie możliwe ale jak trochę pogoglowałem natrafiłem na to Oczywiście projekt jest na arduino ale bez większych problemów można taki zrobić na procesorze ATMEGA8 Na stronie jest kod do edycji w środowisku...

    Początkujący Elektronicy   31 Sty 2017 06:02 Odpowiedzi: 4    Wyświetleń: 870
  • Prosty stołowy zegar LED (NTP)

    Witam :) Zdaję sobie sprawę, ze na Elektrodzie jest sporo urządzeń tego typu. Ale mimo wszystko, dorzucę do kolekcji swoją konstrukcję. :) Jest to dość prosty projekt zegarka stołowego z synchronizacją NTP. Pomysł narodził się jakieś 3 lata temu, kiedy to przy kolejnej zmianie czasu letniego na zimowy, przy istniejącym zegarze domowym znowu padło pytanie...

    DIY Konstrukcje   08 Lis 2023 12:17 Odpowiedzi: 116    Wyświetleń: 17490
  • REKLAMA
  • Minikompuetr pokładowy na atmega8

    1. Napis powitalny 2. Napięcie instalacji (spadek poniżej 13V żeby był informowany buzzerem i diodą led) 3. Temperatura wewnętrzna 4. Zegarek 5. Temperatura cieczy chłodzącej. To wszystko są urządzenia które już są w samochodzie (kontrolka ładowania, wskażnik/kontrolka temperatury silnika), lub takie co można łatwo zamontować gotowe, jak temperatura...

    Projektowanie Układów   31 Mar 2009 19:38 Odpowiedzi: 4    Wyświetleń: 2125
  • REKLAMA
  • Kolejny zegarek cyfrowy - inna odsłona; biurkowy LED 5V; prostsza i mniej wypasiona.

    Zegarek zrobiony jest w oparciu o mikroprocesorek - a za dokładność wskazań odpowiada kwarc. Niby więc powinno być dobrze... Niestety - w moim egzemplarzu nie jest. Dziad się spieszy i to 4 minuty na 12 godzin. Podejrzewam jednak, że to akurat wadliwy egzemplarz. Jakieś trzy lata temu składałem dokładnie ten sam model dla Syna i tamten chodził zdecydowanie...

    Artykuły   06 Gru 2022 13:40 Odpowiedzi: 10    Wyświetleń: 1602
  • Duży zegarek Led 2.3" z termometrem

    Witam, Przedstawiam kolejną wersję zegarka naściennego. Zegarek wyświetla czas w formacie GG.MM, oraz temperaturę w zakresie -9...99°C. Użyte zostały wyświetlacze 7-segmentowe Led o wysokości znaku 56mm. Takie dość duże żeby było z daleka widać która godzina ;) Wyświetlacze mają regulowaną jasność świecenia, tak żeby było widać je w dzień i nie raziły...

    DIY Konstrukcje   26 Sie 2016 09:54 Odpowiedzi: 16    Wyświetleń: 6582
  • Kolejny zegarek LED na AtMega8, ale dokładny

    Witam wszystkich serdecznie ! Oto kolejny zegarek z wyświetlaczami LED oparty na ATmega8. Znowu ?? Tak, a czemu nie. Konstrukcja powstała jako odpowiedź na zapotrzebowanie na naścienny zegarek z dużymi cyframi widoczny w nocy. Wymiary całości to 180x70x18mm. Zegarek wyświetla tylko godziny i minuty, mruga dwukropkiem oraz posiada automatyczną regulację...

    DIY Konstrukcje   06 Lut 2021 10:49 Odpowiedzi: 344    Wyświetleń: 204443
  • Elektroniczny zegarek LED z sekundami

    Mam jeszcze pytanie do kolegi VSS, czy możliwe jest abyś udostępnił mi źródło tego zegara Pozdrawiam /* zegar.c - firmware for simple digital clock based on AVR ATmega8, designed for VSS from pl.misc.elektronika Copyright (C) 2006 Dariusz Rzońca This program is free software; you can redistribute it and/or modify it under the terms of the GNU General...

    Artykuły   03 Kwi 2019 03:21 Odpowiedzi: 597    Wyświetleń: 198648
  • REKLAMA
  • [ATMega8][C] Miernik do zasilacza - jak zmienić?

    Witam Buduję część pomiarową do zasilacza prądu stałego, wiele razy wałkowanego na Elektrodzie, projekt z electronic-labs. Nie mam akurat wyświetlaczy LED, ale mam wyświetlacz VFD z jakiegoś kalkulatora, wymaga 5V żarzenia i 24V na wyprowadzenia, zarówno segmentów jak i kolejnych cyfr, są multipleksowane. Podłączę to przez układy Darlingtona. Znalazłem...

    Mikrokontrolery AVR   20 Sie 2009 07:06 Odpowiedzi: 2    Wyświetleń: 2673
  • Efektowny zegar z diod LED na pcf8583 i atmega8

    wlw_wl: Właśnie, warto spróbować następnym razem zrobić na rejestrach, wszystkiego trzeba próbować :P Pandora417: Spis elementów: - atmega8L(lub atmega8 - 16PU) - podstawka dil28 - pcf8583 - podstawka dil 8 - kwarc zegarkowy 32.768kHz (w każdym prostym zegarku jest taki) - stabilizator 7805T - gniazdo Power Jack (możesz sobie zrobić na złączkach ark)...

    DIY Konstrukcje   13 Sty 2022 09:10 Odpowiedzi: 68    Wyświetleń: 44506
  • Kolejny prosty do wykonania zegarek LED

    Witam To nie zenerka tylko dioda Schottky bo ma mały spadek napięcia w kierunku przewodzenia. Do autora, czy można prosić o źródła w celu: - zmiany tranzystorów na PNP - zmiany procesora na Atmega88, lub o kompilację dla PNP i procesora Atmega88. Tranzystory wiadomo po co, Atmega88 w wersji V pobiera malutko prądu i pracuje od bardzo niskich napięć....

    DIY Konstrukcje   29 Cze 2014 22:00 Odpowiedzi: 31    Wyświetleń: 21150
  • ATmega8535... i zegarek...

    Każdy wyświetlacz ma 8 didek LED (7 segmentów i ósma kropka) połączonych anodami wszystkie razem a katody oznaczone od A do H wyprowadzone osobno. Masz np. 4 wyświetlacze (4 pojedyncze cyfry) więc łączysz ze sobą katody segmentów A wszystkich wyświetlaczy, katody segmentów B wszystkich wyświetlaczy itd. aż do G. Podłączasz zwarte segmenty kolejno do...

    Mikrokontrolery   16 Lut 2006 08:16 Odpowiedzi: 25    Wyświetleń: 2420
  • Atmega8L przepełnienie timer2 codevision

    Na poczatek zasugeruję aby kolega napisał co ma ustawione w opcjach kompilatora. Mam ten produkt (legalnie kupiłem) i niestety musze stwierdzić że zachowanie zmiennych mocno zalezy od opcji kompilatora. A może rzecz najwazniejsza. Gdzie się podziało zainicjowanie licznika podczas wejścia w procedurę przerwania, drogi kolego ? W ogóle to nie bardzo rozumiem...

    Mikrokontrolery AVR   24 Sty 2009 20:31 Odpowiedzi: 28    Wyświetleń: 2220
  • Zegarek binarny - nic nowego, nic odkrywczego :)

    Chciałbym przedstawić konstrukcję, która nie wnosi do świata elektroniki zupełnie nic nowego ponad standardowe połączenie kilku elementów, która powstała dla zabawy i żeby ładnie wyglądała, czyli zegarek binarny. Założeniem była budowa zegarka z widocznymi wszystkimi elementami i zasilanego z portu USB (ot tak). Elementy kluczowe 1. ATMega8A 2. DS1307...

    DIY Konstrukcje   13 Sie 2014 22:32 Odpowiedzi: 18    Wyświetleń: 13320
  • REKLAMA
  • [ATmega8][C][7segment] - Termometr pokazuje 85/127 °C

    Witam forumowiczów, zacząłem realizować projekt zegarka, kalendarza i termometrów z owego linku: Proszę o porady i sugestie jak można rozwiązać ten problem :P

    Mikrokontrolery   17 Maj 2018 14:51 Odpowiedzi: 11    Wyświetleń: 1824
  • Stoper - Atmega8, wyświetlacz LED, bascom

    1.STFW.... 2.RTFM [kolejny bascomowiec] 3.Naprawde, bascom nie zastępuje programisty do tego stopnia, zeby miał zaimplementowaną obsługe CZTEROCYFROWEGO WYSWIETLACZA LED!... Wniosek: Uzyj multipleksowania, a jesli nie wiesz co to jest, zastosuj sie do punktu pierwszego. 4.Jak wyeliminować to ze "tak jakbys nacisnął dwa razy": to sie nazywa drganie styków....

    Mikrokontrolery   21 Kwi 2008 18:30 Odpowiedzi: 13    Wyświetleń: 7629
  • [ATmega8][Bascom] Wyswietlacz 7 segmentowy LED.

    Jest błąd nr 92 w tej linii Shift Temp, Left 8 Już poprawiłem brakowało przecinka przed 8, Wyświetla nadal same 000. Sprawdzałem czujnik na moim termometrze z zegarkiem i budzikiem w którym była poprzednia wersja procedury odczytu i jest ok. Zauważyłem jeszcze, że przy tej procedurze odczytu co teraz zamieniłem stan portu Pc5 się wcale nie zmienia,...

    Mikrokontrolery AVR   05 Maj 2011 13:01 Odpowiedzi: 19    Wyświetleń: 10305
  • atmega8 ds1307 - Program sie zawiesza przy odczycie (C)

    Kolega michalko12 ma trochę racji. Problem w pewnym sensie powrócił, nadal zdarza mu się zawiesić.. czasem po całym dniu, czasem po połowie dnia, a bywało że po 2 godzinach. Watchdog ustawiony na 2 sekundy załatwia sprawę (ciekawostka.. dla atmegi 8 to jest max ;)). Dodatkowo postanowiłem wykorzystać jeden z pomysłów, znaleziony na jednej ze stron....

    Mikrokontrolery AVR   02 Sty 2016 17:48 Odpowiedzi: 36    Wyświetleń: 3507
  • Zegar widmowy AT89C4051

    Witam wszystkich forumowiczów. Dziś Wam przedstawię zegar który wykonałem głównie z ciekawości, jest to projekt który został już opublikowany na elektrodzie przez użytkownika "Mariusz Wiśniewski" poniżej link do tematu. Prawdę mówiąc zegar nie jest ukończony, właściwie płytkę zrobiłem w 2012 roku oraz w 2012 roku zamontowałem elementy do tego zegara,...

    DIY Konstrukcje   16 Wrz 2015 06:54 Odpowiedzi: 6    Wyświetleń: 8508
  • Zegar LCD z podświetleniem RGB

    Witam Nie jest to mój pierwszy projekt ( było ich już z kilkunaście ;) ) , jednak jestem z niego nieco bardziej zadowolony niż z innych; włożyłem w niego także więcej pracy dlatego postanowieniem go opublikować. Ponieważ postanowiłem podciągnąć/ sprawdzić swoje umiejętności programowania / obsługi AVRów zdecydowałem się stworzyć trochę bardziej zaawansowany...

    DIY Konstrukcje   18 Lip 2012 11:43 Odpowiedzi: 32    Wyświetleń: 16262
  • Uniwersalny Moduł sterownika IR + gadżety (klocek lego)

    Witam, chciałbym zaprezentować wykonanie pewnego mojego pomysłu, jest to w zasadzie jeszcze prototyp chociażby pod względem nie doszlifowanego jeszcze oprogramowania,. Jednak na tyle już się dobrze sprawuje, że od razu można go używać w dowolnych konfiguracjach. Nazwałem "to coś" Uniwersalny sterownik IR, chociaż pomimo to, że ten moduł (w zasadzie...

    DIY Konstrukcje   13 Mar 2008 14:36 Odpowiedzi: 21    Wyświetleń: 11921
  • Starter rajdowy ( maszyna startowa do rajdów)

    Witam , chciałem przedstawić dzisiaj konstrukcje która była zrobiona na prośbę kolegów z automobilklubu. Jak to się mówi "Potrzeba jest matką wynalazku" Początkowo nie wiedziałem jak się za to zabrać ale w miarę czasu i pomysłów było więcej i chęci też przybywało.Ja rozważałem konstrukcyjnie ,a nad elektroniką i programem czuwał kolega Mateusz. Starter...

    DIY Konstrukcje   02 Lis 2015 09:19 Odpowiedzi: 21    Wyświetleń: 7503
  • Nixie Clock - prawie steampunk? - by fanatykstaroci

    Witam. Postanowiłem przedstawić chyba pierwszy mój projekt na elektrodzie. Jest to zegar Nixie. Konstrukcja nie jest w jakiś sposób wielce odkrywcza. Ale o tym później. Przez grudzień zmagałem się z problemem sterowania lamp Nixie i odczytem RTC. To mój pierwszy większy projekt od ładnych kilku lat. Pierwszy raz też wziąłem się za zrobienie obudowy...

    DIY Konstrukcje   07 Sty 2016 20:24 Odpowiedzi: 10    Wyświetleń: 6396