REKLAMA

kondensator miga

Znaleziono około 5070 wyników dla: kondensator miga
  • Migające LEDy + programator czasowy

    Zaryzykuje wpierw pierwszą, najprostszą propozycję: Odwróć wtyczkę (programator) o 180% w gniazdku. Chodzi tu o "zamienienie" fazy z neutralnym na zasilaniu. Zobacz co się wtedy czy twój LED dalej miga. Jednak co kilka minut pojawia się pojedyncze mignięcie taśmy LED. To jest spowodowane naładowaniem się kondensatora w zasilaczu.

    Elektryka Światło i Oświetlenie   09 Lis 2017 10:57 Odpowiedzi: 3    Wyświetleń: 1515
  • REKLAMA
  • Migający ekran na S3 Trio64 tylko w MS-DOS, nie w Win95

    Może te miganie to chodzi o częstotliwość odświeżania np. 60Hz wtedy da się zauważyć że ekran miga. W monitorach CRT minimum to 85Hz a najlepiej 100Hz aby nie było tego migania widać. Monitory LCD nie mają tej przypadłości ponieważ obraz jest wyświetlany w inny sposób. Dodano po 18 Sorry nie zauważyłem iż jest chyba odwrotnie. Ten LCD musi być uszkodzony...

    Komputery Początkujący   29 Sie 2019 07:38 Odpowiedzi: 20    Wyświetleń: 435
  • Hexfet 400W-uklad wykrywajacy przesterowanie-migajaca dioda?

    Też mam zbudowane na oryginalnych płytkach holtona w stereo. Clip działa prawidłowo zapala się idealnie gdy idzie 400W na głośnik 4 om (Akurat tak napięcie zasilania przysiądzie że tyle jest). I gdy mryga słychać może bardzo lekkie przesterowanie prawie niezauważalne, ale gdy bardziej podkręce głośność słychać już wyraźnie. Gdy wyłącze wzmacniacz (tym...

    Projektowanie Układów   14 Kwi 2008 21:59 Odpowiedzi: 6    Wyświetleń: 1326
  • K750i migający ekran. Telefon nie włącza się.

    Sprawca jest karta pamięci, tylko wylutowałem ten układ, no i zauważyłem że punkt zaznaczony na czerwono przywarty jest do masy po wylutowaniu kondensatora.

    Smartfony Serwis   09 Mar 2009 20:41 Odpowiedzi: 17    Wyświetleń: 4903
  • REKLAMA
  • Kondensatory - czarne ślady na górze.

    Witam. Kilka miesięcy temu padł mi drugi monitor, z tego powodu przez klika miesięcy leżał nieużytkowany. Objawy są takie, że nie włącza się, po prosu miga dioda. Dziś zabrałem się za jego rozbiór, gdyż przeczytałem, że możliwą przyczyną są rozlane/napuchnięte kondensatory. Kondensatory nie są napuchnięte lecz posiadają dziwne czarne paski / punkty...

    Początkujący Naprawy   25 Kwi 2013 22:46 Odpowiedzi: 12    Wyświetleń: 1800
  • REKLAMA
  • Migająca dioda, szybciej gdy napięcie jest wyższe.

    Jak coś takiego zrealizować ? Wykonać migacz nie na scalakach cyfrowych , lecz na tranzystorach z kondensatorem rozładowującym .

    Początkujący Elektronicy   03 Kwi 2016 13:41 Odpowiedzi: 6    Wyświetleń: 627
  • Jak zrobic układ w którym dioda miga jak flesz?

    Żaden alarm): tylko czysty flash.Tu też masz cos takiego, tylko prostrzego kondensatorem dostrajasz czas migania a rezystorem szybkosć.

    Optoelektronika   23 Maj 2008 21:23 Odpowiedzi: 4    Wyświetleń: 2632
  • Migajaca lampka monitora LG

    Sygnał z komputera (lub innego źródła) jest ? Jak jest nowy i jest gwarancja to zanoś odrazu. Jak nie ma gwarancji, ile lat ma monitor? - możliwe że kondensatory do wymiany

    Komputery Hardware   26 Lis 2010 21:32 Odpowiedzi: 4    Wyświetleń: 1785
  • Re: Migajaca strzalka LED - konstrukcja?

    A gdzie zdobędę taki generator ? Zrobić trzeba. Można na układzie 555 wykorzystując napięcie które pojawia sie na kondensatorze generacyjnym.

    Początkujący Elektronicy   22 Sie 2015 20:31 Odpowiedzi: 8    Wyświetleń: 1362
  • Migacz led. Jak przerobić ten układ? Wiecej niż 1 dioda.

    dziala tylko trzeba dac wiekszy kondensator np 2200uf

    Optoelektronika   31 Paź 2006 14:52 Odpowiedzi: 5    Wyświetleń: 4391
  • kondensatory w okolicy AGP od czego spuchły ?

    Witam. Właśnie siedzę nad kompem w którym spuchnięte są kondensatory przy AGP. Te przy procku są ok. Co może być przyczyną ? Z tego co wiem najpierw filtrują te przy procku więc gdyby coś było nie tak to chyba najpierw one by spuchły ? A dlaczego nad nim siedzę ? Bo komputer po wczytaniu pakietów startowych w awaryjnym: Boot ini sys.ini autoexec.bat...

    Komputery Hardware   30 Gru 2008 15:34 Odpowiedzi: 6    Wyświetleń: 1345
  • przeróbka obecnego układu migających ledów

    Witam. Mam poniżej mam schemat, do którego podstawą był [url=]. przerobiłem go, dostosowując go do swoich potrzeb. (dostawiłem diody w taki sposób, aby wytrzymały napięcie 9V) (lutowałem wszystko "w powietrzu" no i mam pytanie czy da się go przerobić w prosty sposób tak, aby uzyskać efekt zapalających się stopniowo i gasnących stopniowo i powoli diod....

    Początkujący Naprawy   11 Sty 2011 20:44 Odpowiedzi: 0    Wyświetleń: 745
  • REKLAMA
  • OPTICUM STB HD N1 miga dioda czerwona

    Marka: Opticum Model: STB HD N1 Chassis (płyta lub główne podzespoły): E252098 Matryca/Panel (jeśli występuje): Inne (uwagi): Pytanie co morze być powodem uszkadzaniem się układu zasilania PN8601 oraz diody zenera wraz rezystorem przy pinie nr 2 (VCC) jakiś czas temu ok pół roku temu wymieniałem kondensator bo spuchł objawy aktualne: podłączam do prądu...

    Początkujący Naprawy   10 Gru 2017 17:30 Odpowiedzi: 0    Wyświetleń: 1851
  • Żarówka LED świeci przy podświetlanym wyłączniku - czy wpiąć kondensator przeciwzakłóceniowy?

    Witam. Znany problem - żarówka LED świeci przy podświetlanym wyłączniku. Czy można wpiąć kondensator przeciwzakłóceniowy, jak ten: do zacisku oprawki żarówki razem z przewodami zasilającymi, czy raczej musi być przed oprawką np. na Wago?

    Elektryka Dla Każdego   24 Wrz 2022 09:47 Odpowiedzi: 3    Wyświetleń: 339
  • kondensator i żarówka, miganie żarówki, jak zrobić układ?

    Witam! Niedawno zacząłem swoją przygodę z elektroniką. Nauczycielka od fizyki zadała mi pewne zadanie. Próbowalem na wiele sposobów i nic mi nie wychodzi. A zadanie polega na tym, że muszę zrobić układ z kondensatora, żarówki i źródła prądu (bateria). W tym układzie żarówka ma migać. Nauczycielce chodziło o to aby pokazać jak kondensator sie ładuje...

    Początkujący Elektronicy   05 Lis 2007 16:45 Odpowiedzi: 4    Wyświetleń: 4225
  • Oświetlenie kolumn migające w rytm muzyki - jak zrobić?

    Tylko bez kondensatora będą bardziej migać a z nim bardziej świecić. Zamiast R dajesz potencjometr którym regulujesz prąd by nie był za duży, lub musisz odpowiednio dobrać R , Trzeba znać ilość diod , na jakie są napięcie (kolor) i jakie jest maksymalne napiecie jest na kolumnach.

    Optoelektronika   16 Gru 2007 18:41 Odpowiedzi: 10    Wyświetleń: 8313
  • MIgająca świetlówka

    Wydaje mi się że starter się wykończy, bo jest w środku kondensator i bimetal. Migać pewnie będzie chodź może długo nie pochodzić. Pozdrawiam

    Optoelektronika   16 Mar 2008 20:52 Odpowiedzi: 11    Wyświetleń: 3460
  • lampki choinkowe migające w rytm muzyki

    A jak bym chciał zastosować takie lampki one są na 4V bodajże do migania w rytm muzyki tzn podłączam ją jak diodę tylko bez rezystora ale co zrobić by przy małej głośności się nie wyłączała? dać kondensator?

    DIY Poczekalnia   24 Lis 2009 12:45 Odpowiedzi: 49    Wyświetleń: 36452
  • Schemat do migającego serduszka

    Chodzi mi o takie przełączniki jak miał autor oryginału. Gdzie je mam przylutować?? [url=]Link - między masę, a minus kondensatorów.

    Początkujący Elektronicy   24 Mar 2010 19:06 Odpowiedzi: 7    Wyświetleń: 1113
  • Migający monitor, co uszkodzone?

    Czy monitor jest stary ? Tzn czy ma więcej niż 3 lata od daty produkcji ? Jeżeli tak to może w zasilaniu monitora coś nie tak jest (spuchnięte kondensatory).

    Komputery Hardware   12 Lis 2014 14:41 Odpowiedzi: 1    Wyświetleń: 1248
  • Migający led z wyłącznikiem schodowym

    Poczytałem trochę i rzeczywiście to przez podświetlenie. Trzeba kondensatora dołożyć.

    Elektryka Światło i Oświetlenie   25 Mar 2017 11:34 Odpowiedzi: 2    Wyświetleń: 1974
  • Monitor Samsung 206 bw - pomimo wymiany kondensatorów ekran miga

    Witam, posiadam monitor Samsung 206 bw mam go już kilka lat, zaczął migac mu ekren . Szukając w internecie odp znalazłem temat wylewajacych się kondensatorów, więc otworzyłem, znalazłem płytkę od zasilania i zauważyłem 2 wybrzuszone kondensatory, więc je wymieniłem na nowe o tych samych wartościach 1000uF 25 v. Pierwszy raz używałem lutownicy więc trochę...

    Początkujący Naprawy   14 Lip 2017 09:21 Odpowiedzi: 3    Wyświetleń: 909
  • Migające lampki na tunerze satelitarnym - gniazdko Wi-Fi przepuszcza 32 VAC

    W związku z tym mam pytanie, czy to może być wina tego gniazdka czy mojej instalacji elektrycznej ? Gniazdka. Równolegle do triaka/przekaźnika ma zapewne włączony kondensator przeciwzakłóceniowy.

    Elektryka Dla Każdego   12 Lut 2021 12:52 Odpowiedzi: 7    Wyświetleń: 1536
  • Żarówka LED zaczęła migać

    Witam. Kupiłem [url=]duże zdjęcie Czytałem podobne tematy na forum, ludzie piszą o różny powodach np. o kondensatorach. W mojej żarówce są dwa: - zielony 400V/10µF - czarny 50V/100µF Powiem taką ciekawostkę, że jak mam żarówkę na stole i miga, to gdy wezmę w rękę migającą płytkę z led przestaje. Proszę o jakąś radę, co może być przyczyną tego...

    Elektryka Dla Każdego   28 Gru 2013 14:23 Odpowiedzi: 2    Wyświetleń: 11403
  • AEG FAVORIT86010VI - zmywarka oświetlenie wewnętrzne miga.

    Witam Miałem problem z oświetleniem wewnętrznym zmywarki AEG FAVORIT86010VI (typ 911D93-3T) PNC 911937610/01. Po kilku latach zaczynało migać aż w końcu cały czas migało. Migało z częstotliwością około dwóch mignięć na sekundę. Postanowiłem spróbować naprawić lampkę ponieważ nowa kosztowała około 200 zł i była ciężko dostępna. Generalnie w zmywarkach...

    AGD Początkujący   14 Lip 2023 12:38 Odpowiedzi: 0    Wyświetleń: 180
  • Jak zniwelować ściemnianie żarówki w migaczu na 12 V?

    Cześć, przychodzę z pewnym zapytaniem o pomoc. Jestem początkującym elektronikiem, zrobiłem do swojego projektu układ, który działa jako migawka - po prostu żarówka gaśnie i miga. Lekko go przerobiłem, pod instalację 12 V, w prototypie jest dioda LED 2,7 V. Dałem większe kondensatory oraz usunąłem rezystor dla wyjścia na żarówkę 12 V i tu przychodzi...

    Początkujący Elektronicy   02 Mar 2024 20:20 Odpowiedzi: 3    Wyświetleń: 96
  • migajacy LED i rozne rodzaje zasilania

    A może taki schemacik?? Układ przełącza po kolei z jednej na drugą diodę. Prosty/tani, liczba diod musi być nieparzysta. Czas ich świecenia można eksperymentalnie regulować wartościami kondensatorów i oporników (nie ruszać tylko tych przy diodach). Myślę, że to ciekawsze niż takie sobie zwykłe miganie. Można robić z niego długaśne węże i zamontować...

    Początkujący Elektronicy   04 Gru 2005 13:31 Odpowiedzi: 4    Wyświetleń: 2267
  • Migacz naprzemienny na dwóch tranzystorach

    Mam problem bo nie rozumiem działania tego układziku. Kolejne moje pytanie typu działa ale dlaczego? nie bardzo rozumiem dlaczego miga a nie świeci światłem ciągłym. Prosiłbym o wytłumaczenie działanie tego migacza. Może powiem co mi tu nie pasi. Prąd płynący przez rezystor steruje bazą odpowiedniego tranzystora ten wchodzi w stan przewodzenia i przewodzi...

    Początkujący Elektronicy   19 Sie 2008 05:44 Odpowiedzi: 2    Wyświetleń: 3243
  • Dużo części od wieży. Czy da się coś z tym zrobić? Miganie.

    Witam.Mam kilka rzeczy od wieży sony. I jest tam dużo tranzystorów, kondensatorów,rezystorów i inne. Tranzystory np. Q775,Q772,Q774,Q362,Q601,Q602, Itd Kondensatory. C301,C310,C364,C692,C364,C343, Itd Rezystory C816,C817,R844,D819,C809, Itd Diody Led. 4 taśmy po 3 diody pomarańczowe i 1 taśmę 3 diody niebieskie. I teraz mam pytanie czy da się zrobić...

    Optoelektronika   17 Gru 2011 17:15 Odpowiedzi: 5    Wyświetleń: 1623
  • Migająca dioda / diody zasilane dynamem 6V 3W

    Witam, wpadłem na pomysł aby wymienić moje skromne oświetlenie rowerowe na ledy. Z różnych poprzednich wątków wydłubałem schemat który wydaje mi się ok. Zasilane dynamem 6V 3W w szeregu połączony mostek Graetza, stabilizator napięcia 3,3V dodatkowo kondensator 1F do potrzymania napięcia gdy zabraknie sił na pedałowanie :D Układ polutowany działa ALE.....

    Początkujący Elektronicy   30 Kwi 2015 09:03 Odpowiedzi: 3    Wyświetleń: 1806
  • Blaupunkt 40/148I-GB-5B2-FHBKU - Migajacy pasek na ekranie

    Witam panowie, dorwałem telewizor po przecenie wlasnie firmy blaupunkt ale jest pewien problem. Co prawda po podlaczeniu do dekodera przez HDMI wszystko bylo jak najbardziej w porzadku przez okolo 20 minut ale potem co jakis czas da sie zaobserwowac delikatne migniecie pasa na cala szerokosc ekranu ale tylko i wylacznie w 1 miejscu - gornej czesci....

    Początkujący Naprawy   23 Wrz 2015 22:33 Odpowiedzi: 2    Wyświetleń: 1185
  • O wymianie kondensatorów- część pierwsza, kondensatory elektrolityczne

    Ja właśnie posiadam zasilacz dwukanałowy do oświetlenia LED akwarium i na jednym kanale listwa LED świeci bardzo słabo, lub nawet miga. Po przeczytaniu tego świetnego artykułu otworzyłem ten zasilacz i zobaczyłem kondensator, który wydaje się być spuchnięty. Jeśli go wymienię to muszę również resztę kondensatorów wylutować i pomierzyć? One wyglądają...

    Poradniki Elektronika   18 Mar 2022 23:30 Odpowiedzi: 123    Wyświetleń: 15810
  • Jak zmostkować lampki choinkowe USB, by nie migały?

    Może trochę odgrzebuję temat, ale co mógłbym zmostkować w lampkach choinkowych zasilanych USB, oczywiście żeby nie migały. Dzięki z góry. Dołączam obrazek sterownika lampek. Witaj na forum elektroda.pl! Aby odpowiedzieć na Twoje pytanie, potrzebowałbym nieco więcej informacji dotyczących sterownika Twoich lamp choinkowych. Najczęściej migotanie lamp...

    Początkujący Elektronicy   16 Mar 2024 12:38 Odpowiedzi: 15    Wyświetleń: 297
  • LED na NE555. Schemat migacza. Sterowanie częstotliwością.

    do tych wzorów podstawia się jednostki postawowe, czyli dla rezystora będzie 4700, a dla kondensatora 0,0000100?? Dodano po 5 zauwazyłem, że dioda miga z nierówną częstotliwością. Raz szybciej, raz wolniej. czy to normalne czy wina NE555?

    Optoelektronika   09 Lut 2006 19:15 Odpowiedzi: 15    Wyświetleń: 9337
  • migacz 2 ledow pomocy!!!!!!!!!!

    Witam! Czy dało by się podłączajac wiecej tranzystorów i resystorow i kondensatorow podlanczajac do tego np 4 diody zeby migaly na przemian albo wiecej. A tu jest strona: PROSZE O ODPOWIEDZ!!!!!!!:)

    Początkujący Elektronicy   18 Wrz 2006 14:26 Odpowiedzi: 1    Wyświetleń: 708
  • Układ testowania kondensatorów elektrolitycznych.

    Witam. Jeżeli kondensatory elektrolityczne są już zestarzałe i nie trzymają parametrów, to jest to już złom, i nie nadaje się do żadnych poważnych konstrukcji, chyba że chcesz zbudować prosty migacz do diody LED czy żarówki. Do formowania należało by użyć napięcia nominalnego, lub nieco niższego dla danego kondensatora, nigdy wyższego. Rezystor w szereg...

    Początkujący Elektronicy   21 Lis 2010 21:12 Odpowiedzi: 6    Wyświetleń: 3844
  • AT89C2051 - Dioda LED. Różne tryby migania.

    Witam. Potrzebuję prostego przykładu programu do AT89C2051. Chcę zbudować migacz na 2 diodach LED. Diody chcę podłączyć do portów P1.1 i P1.2 przez rezystory powiedzmy 500 Ohm. Potrzebuję też 3 przycisków, podłączonych do portów P1.4, P1.5, P1.6 i do masy. Co z RESETEM? Wyczytałem, że nie może on wisieć w powietrzu i trzeba go podłączyć przez kondensator...

    Mikrokontrolery   26 Sty 2013 15:06 Odpowiedzi: 14    Wyświetleń: 2412
  • Naprawa analogowej lustrzanki minolta x700 - gdzie kupić kondensator

    Cześć, próbuję naprawić minoltę x700, która ma zablkowany naciąg migawki. możliwe, że wina leży po stronie dwóch kondensatorów o wartościach 220uF/4V po przyłożeniu 1,5V baterii do kondensatorów, trzymały napięcie (stopniowo spadło) czy mimo tego, kondensatory mogą nie działać prawidłowo? czy jeżeli oryginalnie znajdują się takie kondensatory: (mały...

    Projektowanie Co i Gdzie Kupić ?   04 Cze 2013 13:46 Odpowiedzi: 0    Wyświetleń: 1398
  • Nikon D40x - Zablokowana migawka.

    Naprawa silnika w tm modelu jest bardzo prostą sprawą, wystarczy podgiąć szczotki złożyć jak należy i będzie śmigał jak nowy, Jednak faktycznie samo rozbieranie nie jest najprostsze. Nalezy uważać na naładowany kondensator, który potrafi narobić szkód.

    Aparaty Fotograficzne Serwis   11 Wrz 2013 10:54 Odpowiedzi: 26    Wyświetleń: 7662
  • Pioneer A-307R - Migaja wszystkie diody wyboru wejścia, świeci direct, nie włącz

    Wzmacniacz rozebrany, wszystko wygląda wporządku. Żadnych luźnych połączeń, wszystkie kondensatory wyglądają ok. Po włączeniu, direct świeci, a diody żródła (CD, tuner, phono, line itd) migają. Przekaźnik nie załącza. Po wyłączeniu i ponownym włączeniu momentalnie jak diody zgasną wzmacniacz włącza się prawidłowo. Brak pomysłów.

    Audio Początkujący   20 Lip 2015 22:57 Odpowiedzi: 1    Wyświetleń: 1128
  • Migacz (przerywacz) do lampki rowerowej 3 V

    Możesz wlutować w szereg diodę migającą, wszystkie diody będą pulsować. Napięcia przewodzenia diod LED + migająca się dodadzą i nie będzie migać. Migające czerwone są na 3,5V a także na 12V. BC557 to: Tylko trzeba sobie odwrócić kondensator/y elektrolityczny/e

    Początkujący Elektronicy   28 Kwi 2016 23:56 Odpowiedzi: 3    Wyświetleń: 1086
  • Pralka Indesit IWC6105 - migajace diody, przerywanie programu

    Witam. Dostałem do naprawy pralkę IWC 6105PL, która ma takie same objawy jak u autora tematu. Po wybraniu programu i naciśnięciu startu pobiera wodę i po czasie ok 10 s. wypompowuje wodę oraz migają wszystkie jak w filmie powyżej. Dodam, że wcześniej zepsuta była blokada drzwi i pralkę trzeba było otwierać ręcznie naciskając na klawisz przy blokadzie....

    AGD Pralki, Suszarki   15 Kwi 2019 14:46 Odpowiedzi: 30    Wyświetleń: 31731
  • Thomson DPL 500 migajace litery wyświetlacza L i P i przerywany dźwięk

    Sprawdzic zasilanie. Stabilizatory, stan kondensatorow. Zimne luty na PCB.

    Audio Początkujący   13 Lis 2019 23:29 Odpowiedzi: 17    Wyświetleń: 2013
  • WRT54GL v1.1 nie zapisuje ustawień po recappingu kondensatorów

    Witam, Posiadam router LINKSYS WRT54GL, który po 7 latach pracy i przepięciu w inne miejsce przestał działać (migają diody). Soft jest oryginalny (w wersji V6 jak dobrze pamietam). Historycznie: 0) router sobie miga i nie reaguje na nic 1) chciałem pomierzyć kondensatory ( po tylu latach pewnie umarły, co też zrobiłem), router ożył po czym złożenie...

    Hardware, Software, naprawa urządzeń sieciowych   02 Maj 2020 13:40 Odpowiedzi: 4    Wyświetleń: 1191
  • VW Jetta mk5 - Migające diody LED typu w2,3w o barwie 6000k w nowych lampach z Allegro

    Witam, z góry zaznaczam, że nie jestem wybitny z dziedziny elektroniki :p Zakupiłem nowe lampy na allegro z led ringami. Problem jest taki, że strasznie migają. W lampie znajduje się 10 diod led typu w2,3w o barwie 6000k. Świecą się jako światła postojowe. W lampie znajduje się kostka na której leci zasilanie na te led'y, więc mam możliwość podłączenia...

    Samochody Elektryka i elektronika   07 Lut 2021 12:46 Odpowiedzi: 0    Wyświetleń: 480
  • Miganie diod Power LED połączonych szeregowo przy użyciu Arduino i tranzystora IRF540N

    To rozwianie najprawdopodobniej jest bez sensu, sieciowe zasilacze stałoprądowe zazwyczaj maja budowę zasilacza stałonapięciowego ze regulatorem prądu, czyli w odróżnieniu od książkowego źródła prądowego, mają niską impedancję wyjściową i na domiar złego, pętla regulatora prądu często nie jest szybka, przy pracy statycznej to nie ma znaczenia, ale kiedy...

    Projektowanie Układów   10 Sie 2023 08:47 Odpowiedzi: 6    Wyświetleń: 459
  • Elemis 5510T. Miga tylko dioda stand-by. Jedna wtyczka za to odpowiada.

    Mam problem z telewizorem a mianowicie na poczatku migala dioda od stdby, jak zauwazylem standardowy problem..;) po wymianie kondensatorow (Jak na razie z demobilu aczkolwiek prawie nowe) w przetwornicy nie usunelo to problemow idac dalej za inform. z innych postow zaczolem odlaczac poszczegolne plytki telegazeta itp. po wyjeciu wszystki wtyczek idacych...

    Początkujący Serwisanci   18 Mar 2005 17:10 Odpowiedzi: 5    Wyświetleń: 1200
  • wysiadają kondensatory w stroboskopie

    Posiadam stroboskop, którego prędkością steruje pojemność kondensatora. Podłączyłem 1uF 50V. By móc sterować prędkością migania włączyłem w obwód miedzy ścieżką a kondensatorem potencjometr 10M 5W. Gdy uruchamiam układ wszystko działa, można regulować, lecz po wyłączeniu wysiada mi kondensator. Co mam z tym zrobić? Z góry dziękuje za szybką odpowiedź....

    Początkujący Serwisanci   31 Gru 2005 14:35 Odpowiedzi: 7    Wyświetleń: 837
  • CRT migająca dioda - HELP!!!

    Witam wszystkich. moj problem jest taki ze wariowal mi monitor crt 15. gubil niebeski kolor a czasami ginał obraz. po uderzeniu w obudowę problem znikał więc doszedłem do tego że gdzies musi byc zimny lut. niestety tuż przed tym jak go otworzyłem coś mu się stalo że przestał reagować i miga tylko dioda która migać nie powinna nwet po wyłączeniu komutera....

    Monitory Serwis   29 Paź 2006 12:52 Odpowiedzi: 0    Wyświetleń: 633
  • THOMSON 28DG42E ICC19 Brak działania Miga tylko czerwono LED

    TV 100Hz TYPE 413/ICC19 2H EN Po włączeniu TV dioda LED miga. +B=101V Na zarówce +B=71V (widoicznie przetwornica pracuje na ST-BY, bo pewnie musi wystartować wysokie). Nie widać zimnych lutów. Płyta bazowa niewygrzana. Trafo OREGA 40308-51 na testerze wygląda na sprawne. W przetwornicy po stronie gorącej profilaktycznie wymieniono wszystkie małe kondensatory...

    TV Serwis   07 Lut 2008 10:15 Odpowiedzi: 3    Wyświetleń: 2631
  • Sprzedam profesjonalne mierniki ESR kondensatorów elektroli

    Witam Przedstawiam przyrząd niezbędny w pracowni każdego elektronika, zarówno hobbysty, jak i profesjonalisty. Tym przyrządem jest miernik współczynnika ESR kondensatorów elektrolitycznych MRK-01-F / MRK-02-F , model 2011. Poza pojemnością, współczynnik ESR jest najważniejszym parametrem kondensatora elektrolitycznego decydującym o jego zużyciu i przydatności...

    Ogłoszenia Elektronika   25 Paź 2011 23:43 Odpowiedzi: 0    Wyświetleń: 4332
  • Migajaca dioda LED - prad przemienny

    Wiem, ze najprostrzym rozwiazniem byloby zrobienie prostego ukladu z tranzystorem + kondensatorem odpowiednio dobranymi - problem w tym, ze mam tego wiecej i lutowanie zajeloby mi pol dnia - stad pomysl z wlasnie migajaca dioda LED. Nie trzeba żadnych tranzystorów. Kupujesz migającą LED, robisz przez mostek kondensator i rezystor ogranicznik i miga....

    Optoelektronika   21 Gru 2011 14:05 Odpowiedzi: 4    Wyświetleń: 2309
  • Migające światło w domku z kilkuletnią instalacją.

    Ehhh - elektrycy - elektrycy :D Jak dajecie prostownik to dajcie od razu kondensator elektrolityczny kilka mikrofarad i będzie stałe napięcie - tak jak jest w żarówkach energooszczędnych . Jak komuś miga tak szybko światło, to niech da żarówki energooszczędne, one nie migają 50-100Hz i są odporne na zmiany napięcia od 150 - 240V jasność im się nie zmienia,...

    Elektryka Instalacje i Sieci   23 Lis 2012 21:48 Odpowiedzi: 27    Wyświetleń: 4233
  • Joystick VSI wózka HP-6 - miga 8 diód

    Poszukaj o wysuszaniu się kondensatorow. Sprawdź ich parametry. Wymien uszkodzone. Poszukaj zimnych lutow.

    Sprzęt Medyczny   22 Kwi 2017 17:19 Odpowiedzi: 2    Wyświetleń: 3024
  • Samsung SyncMaster T220 - miga ekran i OSD Szalaje

    Witam Mam problem z monitorem Samsung syncMaster T220. Mam go jako drugi monitor do kompa (z racji wygody pracy na 2). Ostatnio jednak pojawił sie problem. Na początku monitor samoistnie zaczął skakać po OSD. Włącza sobie głównie przycisk jasności na maxa. Nie był by to wielki dramat ale to osd jest dość spore i zasłania dużo ekrany a włączone jest...

    Początkujący Naprawy   08 Sty 2018 20:04 Odpowiedzi: 2    Wyświetleń: 1134
  • Router DWR-116 - Plus migające diody od portów

    Rozbierz go i zobacz czy nie ma popuchnietych kondensatorow. A potem do sklepu po drugi.

    Sieci Początkujący   16 Maj 2018 14:36 Odpowiedzi: 1    Wyświetleń: 5055
  • Philips 32PFL5604H/12 - Tv nie włącza się, miga biała dioda potem czerwona

    Podaj wartosci napiec z zasilacza i sprawdz stan kondensatorow.

    Początkujący Naprawy   26 Cze 2018 09:24 Odpowiedzi: 3    Wyświetleń: 1245
  • Samsung S24A300BL miga podświetlenie przy podłączeniu DVI - co robić?

    Witajcie, mam taki problem z monitorem, że po podłączeniu sygnału DVI(VGA nigdy nie podłączałem) podświetlenie matrycy zaczyna migać z częstotliwości 1Hz. Jeśli jest na start podświetlenie ustawione na 100% to problem ustaje po ok. 2 minutach chyba ze względu na to, że elektronika na płycie głównej osiągnęła temperaturę pracy. Przy podświetleniu <100%...

    Monitory Początkujący   02 Paź 2019 19:00 Odpowiedzi: 10    Wyświetleń: 1662
  • Wyjaśnienie schematu stroboskopu LED: kondensatory i diody 1N4148

    Witam ! Polecam budowanie układu etapami: 1. Wykonaj układ z lewej strony schematu ( NE555+przylegające elementy ), LED3 odłącz od masy, zamiast masy użyj wyprowadzenia nr.3 NE555, led powinien migać. 2. Led miga, podłączasz układ 4017bez tranzystorów i znowu zamiast tranzystorów dajesz LED z rezystorem tak jak poprzedni, teraz w miejsce D1 lub D2....

    Początkujący Elektronicy   07 Lip 2019 08:31 Odpowiedzi: 18    Wyświetleń: 1509
  • Faac 740-nie dziala, miga sie wyswietlacz, pojawia jedna cyfra.

    Zle, to inna robota..W innym miejscu.Nic nie wymienialem. Zamontowalem naped tydz. temu i teraz go laczylem. Podpialem zasilanie, fotokomorki i lampe na 230 V. Po wpieciu zasilania wyswietlacz zaczal migac..Nie widze uszkodzonych kondensatorów ani niczego.

    Automatyka bram, szlabanów, rolet   12 Lis 2020 21:18 Odpowiedzi: 6    Wyświetleń: 1914
  • Dziwnie migające żarówki przy łączniku dotykowym

    Cześć, Posiadam łącznik dotykowy światła potrójny (ten co świeci się na niebiesko i czerwono). Od samego początku występowały problemy z miganiem, więc wymianiałem elementy metodą eliminacji. Nowe markowe żarówki wpięte do szybkozłączek razem z kondensatorami znanej firmy. Na początku pomogło, ale po jakimś czasie problem powrócił. Finalnie przy każdej...

    Elektryka Dla Każdego   05 Sty 2023 10:05 Odpowiedzi: 2    Wyświetleń: 468
  • Jak przerobić stroboskop, żeby migał w rytm muzyki?

    witam kupilem jakis miesiac temu stroboskop na allegro za cene okolo 40zl. Stroboskop ma 20w (wiem malo) oraz max 10 blyskow na sekunde ale mam taki problem mianowicie podczas kupna nieprzemyslalem jednej kwestii on niema wejscia impulsowego (niewiem jak to sie fachowo nazywa) I moj problem polega na tym ze chcialbym owy stroboskop przerobic tak zebym...

    Optoelektronika   22 Maj 2006 16:47 Odpowiedzi: 2    Wyświetleń: 2232
  • Seat toledo '91-99 kontrolka oleju miga

    migająca czerwona kontrolka olejowa<br/><br/>Pomimo właściwego ciśnienia oleju w silniku diesla mruga kontrolka oleju. Po demontażu licznika na płytce powyżej złącza kablowego wylany kondensator. Wymiana i sprawdzenie stanu ścieżek w pobliżu usterki. <br/> <br/>Spotkałem również miganie spowodowane brakiem właściwego połączenia...

    Samochody Technika   07 Wrz 2007 16:56 Odpowiedzi: 0    Wyświetleń: 7212
  • technics su-v4x nie włącza się - miga dioda "safety&quo

    Witam Posiadam wzmacniacz tak jak w tytule czyli technics su-v4x i mam z nim następujący problem gdy go uruchamiam miga diodka safety operation i po kilku sekundach diodka zaczyna migac szybciej i na tym sie konczy wzmacniacz nie odpala. Przejrzałem płytę i na oko niewidać nigdzie przepalonych ścieżek i kondensatorków wizualnie wszystko jest ok. Może...

    Audio Początkujący   13 Paź 2008 19:10 Odpowiedzi: 0    Wyświetleń: 1122
  • Celvo 2200s \ Gericom S2/650MP miga dioda power co 1s ?

    Witam posiadam laptopa Gericom Overdose S2\ 650MP Jest to taki sam laptop w zasadzie jak Celvo 2200s Płyta główna bodajże to KAPOK SIS 630S Pewnego dnia włączam laptopa i nie słyszę szelestu wentylatorów tylko dysk twardy próbujący się uruchomić i tyle. Miga dioda Powera - led zasilania w przybliżeniu co 1s synchronicznie. Laptop nie posiada baterii,...

    Laptopy Hardware   12 Sty 2009 19:01 Odpowiedzi: 1    Wyświetleń: 1663
  • Świetlowki energooszczędne 20W Miganie.

    Witam, stałem się posiadaczem świetlówek energooszczędnych. Po krótkim czasie zaczęły mnie one irytować, kiedy wyłączę wyłącznik (odcinam fazę) świetlówki zaczynają co jakiś czas migać. Pierwsze co przyszło mi na myśl to wina neonówki zamieszczonej w wyłączniku(kondensator się powoli ładuje i chce wystartować świetlówkę). Wymontowałem neonówkę, ale...

    Elektryka Światło i Oświetlenie   01 Lut 2009 23:18 Odpowiedzi: 2    Wyświetleń: 2642
  • Zmywarka Ariston LD44. Nie włącza się. Wcześniej wyświetlacz migał.

    Czy ten element pewnie stabilizator między kondensatorami koło transformatora w zasilaczu nie jest rozwarstwiony? Mało widać na zdjęciach. Nie można rozpoznać elementów i odczytać ich wartości. Najpierw trzeba zmierzyć czy zasilacz dostaje napięcie 230V na warystorze i czy daje jakieś napięcie na elektronikę Mierząc na elektrolitach.

    AGD Początkujący   14 Kwi 2009 21:00 Odpowiedzi: 14    Wyświetleń: 8250
  • canon A 540 - niedziałająca migawka

    Aparat nie wyzwala migawki póki nie naładuje się kondensator gromadzący energię do błysku, jeśli się nie ładuje to może padł tranzystor gdzieś w przy kondensatorze.

    Aparaty Fotograficzne Serwis   12 Mar 2010 20:49 Odpowiedzi: 5    Wyświetleń: 1344
  • Projektor Philips LC 4341 - spalone kondensatory

    Dzisiaj po wymianie kondensatorów odłączyłem wszystkie wentylatory, głośniczek i termostat. Wszystkie elementy na płycie głównej wyglądają wizualnie OK. Zacząłem podłączać wszystko po kolei. Podłączyłem wentylatory i głośnik i diody od STAND BY zaczęły migać światłem czerwonym. Migają ciągle i nie przestają. Po podłączeniu termostatu od razu płonie...

    TV Początkujący   27 Gru 2010 12:30 Odpowiedzi: 1    Wyświetleń: 1311
  • Pralka Miele W921 Novotronic, miga dioda Spulen3 od czasu zwarcia.

    Witam wszystkich. Opiszę swój przypadek (nie znalazłem odpowiedzi na Elektrodzie) i poproszę o pomoc. Nie jestem elektronikiem, ale miernik mam i lutownicy się nie boję. Schematy dołączone do pralki też w większości rozumiem. Sprawa dotyczy mojej pralki, kupionej w 2010 a pochodzącej z 1995 r. Powodem awarii był rozerwany fartuch. Podczas wirowania...

    AGD Początkujący   29 Cze 2012 14:51 Odpowiedzi: 3    Wyświetleń: 7633
  • Acer 5737z - zasilanie - nie wlacza sie, migajace diody

    Jesli cos jest nie w porzadku z tematem to przepraszam - pisze z telefonu i nie ulatwia mi to zadania. Problem mam taki - podczas normalnej pracy zaczely migac diody zasilania i baterii, w trayu informacja, ze laptop jest podlaczony do zasilania, ale nie laduje sie. Po wylaczeniu sprzetu nie dalem rady juz go ponownie uruchomic - pare razy ruszyl i...

    Laptopy Hardware   30 Lip 2012 17:53 Odpowiedzi: 3    Wyświetleń: 1472
  • LG Flatron L1770HR - Monitor przestał działać po wymianie kondensatorów

    Witam. Od dłuższego czasu miałem dość spory problem z monitorem LG L1770HR. Gdy po dłuższym czasie uruchamiałem monitor, albo nie startował wcale, albo migał (czasem z dość wysoką częstotliwością, czasem było to tylko kilka mignięć). Po serii mignięć bywało, że monitor całkowicie się wyłączał, wtedy pomagało włączenie i wyłączenie. W zależności od tego,...

    Monitory Początkujący   25 Sie 2012 14:14 Odpowiedzi: 5    Wyświetleń: 2169
  • Philips 32PFL3312/10 - Wyłącza się miga niebieska dioda i znów się załącza

    Witam! dołączam się do forum w takim samym temacie, a mianowicie; w takim samym TV z tym samym zasilaczem PLCD190 P3MR po wyładowaniu atmosferycznym nastąpiło uszkodzenie zasilacza. Objawiło się ono miganiem diody st-by (podobne uszkodzenie było opisywane). W takt migania diody na zasilaczu pojawiały się cyklicznie impulsy, jakby zasilacz próbował startować....

    TV Początkujący   09 Paź 2012 14:15 Odpowiedzi: 7    Wyświetleń: 7199
  • Hundai b70a - Miga zielona dioda, brak dalszej reakcji

    Dzięki za SM, oryginalnego zasilacza nie mam, podłączałem pod komputerowy ATX (12V). Drugi taki monitorek śmiga na takiej zasiłce. Tranzystory/diody sprawdzane miernikiem wyglądają na sprawne. Odłaczywszy modłuł WN dioda migała tak samo. Zamrażacz też nic nie pomógł. Dysponuję oscyloskopem aby sprawdzić przebiegi. ----- Standardowa usterka pt, kondensatory....

    Monitory Początkujący   29 Lip 2013 11:29 Odpowiedzi: 2    Wyświetleń: 1467
  • LG RZ-20LZ50 - Miga czerwona dioda, nie reaguje na nic

    Witam wszystkich, mam problem z telewizorem LG RZ-20LZ50. Problem polega na tym, że po podłączeniu telewizora do prądu, zaczyna migać czerwona dioda i nic więcej się nie dzieje. Nie ma reakcji na pilota ani na przyciski na telewizorze. Miganie jest jednostajne, nie sygnalizuje żadnego kodu błędu. O ile dobrze pomierzyłem, to napięcia wychodzące z płytki...

    TV Początkujący   06 Lis 2016 14:03 Odpowiedzi: 2    Wyświetleń: 3924
  • Migająca dioda LED - nie działa

    Witam. Postanowiłem wykonać prosty układ w którym miałaby migać dioda LED. Oto schemat : Po przylutowaniu elementów okazało się, że owy układ nie działa tzn dioda nie miga. Wg schematu należało użyć kondensatora 1000uf/12v, lecz ja użyłem 1000uf/16v. Oto ten układ: I tu moje pytanie : czy gdzieś zrobiłem błąd, czy sam schemat jest nie poprawny?

    Początkujący Naprawy   09 Lip 2014 18:50 Odpowiedzi: 6    Wyświetleń: 5280
  • Lampki choinkowe, wyłączenie migania.

    Chodzi o takie denerwujace migotanie? Według mnie właśnie chodzi o to, bo w zasilaczu są same diody bez żadnego kondensatora. Dołożyć kondensator 20-100uF na odpowiednie napięcie.

    Początkujący Naprawy   29 Gru 2014 11:54 Odpowiedzi: 6    Wyświetleń: 4917
  • Samsung SyncMaster 223BW - Miganie, wyświetlanie białych pasów itp.

    Witam. Sprawa dotyczy Samsung SyncMaster 223BW. Zaczęło się od delikatnego migania ekranu, które zanikało po "rozgrzaniu się" monitora. Czasem się wyłączał, ale włącz/wyłącz pomagało na jakiś czas. Obecnie pojawiają się pasy jak na zdjęciach. Dotyczą one tej samej powierzchni ekranu. Ten proceder zaczął się po odpięciu kabla sygnałowego od laptopa....

    Monitory Początkujący   23 Maj 2015 18:10 Odpowiedzi: 8    Wyświetleń: 1920
  • Samsung 226BW - Miganie białych poziomych pasków

    Witam W poniższym filmiku wizualny opis problemu: [youtube][/youtube] Widać o co chodzi. Wymieniłem już kilka kondensatorów - chociaż wyglądały OK i przez miesiąc był spokój. Potem znów się to zaczęło... na początku ustępowało po 30min-40min i można było na monitorze normalnie pracować, ale teraz to już cały czas miga. Zdjęcie płytek pokażę jutro, bo...

    Monitory Początkujący   26 Paź 2015 23:20 Odpowiedzi: 1    Wyświetleń: 837
  • TV LCD Philips 20PF5121/58 - TV nie włącza się. Miga zielona dioda zasilania.

    Witam, Mam problem z TV jak w tytule. W pewnym momencie powstał problem z włączeniem. Po naciśnięciu przycisku zaczęła migać zielona dioda ale tv nie uruchomił się. Po 4-5 minutach włączył się.... Ale następnego dnia problem się powtórzył, tylko że załączenie trwało z 20 minut. Teraz nie chce się włączyć wcale. Po kilkunastu minutach migania na zielono...

    Początkujący Naprawy   09 Lut 2017 20:28 Odpowiedzi: 3    Wyświetleń: 1815
  • Wyświetlacz piekarnika Samsung BF62CCST miga po wymianie stabilizatora TNY266PN

    Dzień Dobry. Zwracam się do szanownych kolegów i koleżanek z problemem, jaki przytrafił mi się z piekarnikiem marki Samsung model BF62CCST po przepięciu w instalacji elektrycznej po burzy piekarnik przestał działać. Po oględzinach płyty sterującej piekarnikiem uszkodzeniu uległ stabilizator TNY266PN. Po wymianie na nowy wyświetlacz piekarnik zaczął...

    AGD Kuchnie   12 Sie 2021 12:32 Odpowiedzi: 5    Wyświetleń: 1131
  • Problem z migającą żarówką w podwójnym przełączniku Sanicopolux Smart WiFi

    Z kondensatorem tez miga, czy go zakladam czy nie to miga.

    Smart Home IoT   02 Kwi 2023 18:15 Odpowiedzi: 2    Wyświetleń: 156
  • Migajacy led

    LM3909 sie tak zachowuje, przy ok 3V miga normalnie, a przy 9V miga tak szybko ze pali sie jednolicie. układ składa sie z jednego scalaczka + kondensator, a i układ jest tani. Niestety nie mam schematu, ale powinnien byc gdzies na elektrodzie

    Projektowanie Układów   04 Lip 2003 09:43 Odpowiedzi: 2    Wyświetleń: 1726
  • Prosty migacz LED o dlugiej zywotnosci baterii.

    Ponizej znajduje sie schemat migacza ostrzegawczego mrugajacego dioda LED. Migacz wymaga tyle energii do pracy ile spiaca mucha. Na jednej bateryjce 6F22 bedzie mrugal przez kilka miesiecy. Zasilanie moze przyjmowac wartosci z zakresu 7 - 14 Volt = . Mruganie tego migacza wyglada tak jak lampki migajace na skrzydlach lub ogonie samolotu, czyli maja...

    Artykuły   18 Sty 2010 13:21 Odpowiedzi: 17    Wyświetleń: 17893
  • migająca dioda najprościej

    Jesli miales zamiar składać układ ktory by sterowal dioda, to zdecydowanie bardziej polecam "gotową" migajacą diodę. Chyba ze zalezy Ci na tym zeby sobie regulowac predkosc migania to wtedy składaj multiwibrator astabilny, wowczas czestotliwoscią migania bedziesz regulował poprzez zmiane wartosci pojemnosci kondensatorow, lub oporu rezystorów dołączonych...

    Początkujący Serwisanci   29 Kwi 2005 20:25 Odpowiedzi: 6    Wyświetleń: 1872
  • Awaria Grundiga. Nie można włączyć żadnego kanału. Dioda kontrolna miga.

    Pierwsza diagnoza to kondensatory elektrolityczne w zasilaczu do wymiany te po stronie pierwotnej. Ale na początek to za mało wiadomości o TV .

    Początkujący Serwisanci   20 Lut 2006 22:00 Odpowiedzi: 5    Wyświetleń: 1905
  • Migacz program na projekt w C++...

    Jestem studentem drugiego roku Elektroniki i Telekomunikacji, jako projekt do realizacji na przedmiocie "teoria obwodów" wybrałem prosty migacz dwóch diod led (schemat---> ). Należało skonstruować układ, zastąpić tranzystory modelem Eberssa-Molla i kondensatory modelem Eulera, wypisać równania węzłowe i wykreślić odpowiednie przebiegi w spice'ie, z...

    Programowanie   23 Kwi 2006 19:17 Odpowiedzi: 4    Wyświetleń: 1095
  • Rdzeń transormatora - w jakiej skali jest wykonany? Spawarka MIG/MAG.

    Niektóre starsze spawarki mogły mieć gorszy współczynnik mocy cosφ, który można skompensować kondensatorami. Kiepski współczynnik mocy związany jest z prądem biernym, czyli takim, który sobie płynie nie powodując wzrostu mocy w odbiorniku, ani też wzrostu rachunków za prąd (ale może on spowodować zadziałanie zabezpieczeń).

    Elektro Maszyny i Urządzenia   15 Maj 2006 20:15 Odpowiedzi: 9    Wyświetleń: 1982
  • Jak zrobić kolorofon? Nie gra w takt muzyki ale miga.

    A da się przerobić to, żeby można było regulować częstotliwość błysków? Częstotliwość błysków reguluje się za pomocą kondensatora włączonego równoległe do zapłonnika, niestety nie będzie to regulacja płynna. Aby płynnie regulować częstotliwość błysków należy zbudować elektroniczny przerywacz z triakiem, można zastosować do niego popularną kostkę NE555....

    Początkujący Elektronicy   31 Gru 2006 20:08 Odpowiedzi: 4    Wyświetleń: 1884
  • Curtis 25M1VT, nie można go uruchomić, dioda stand-by miga.

    telewizor juz jest naprawiony okazało sie ze był zły jeden z kondensatorów bardzo dziekuje za pomoc

    Początkujący Serwisanci   14 Sty 2007 20:11 Odpowiedzi: 12    Wyświetleń: 2269
  • Migająca led, szukam prostego schematu.

    witam najprostszym chyba wyjście jest uzycie układu czasowego NE555 - polski odpoiednik to chyba i ULY7855N. Wystarczy układzik kosztujący około złotówki, 2-3 kondensatory i kilka rezystorów. Poprzez dobór kondensatora i rezystora ustalasz częstotliwość migania. pozdrawiam dołączam plik ze schematem i programikiem przydatnym w obliczeniach

    Projektowanie Układów   27 Lut 2007 18:51 Odpowiedzi: 6    Wyświetleń: 2439
  • Dobranie rezystora. Migacze diodowe. Migają za szybko.

    Nie znam schematu tego przerywacza ale w innych częstotliwość pulsacji była ustalana kondensatorem elektrolitycznym.

    Początkujący Elektronicy   01 Kwi 2007 00:22 Odpowiedzi: 7    Wyświetleń: 1677
  • Daewoo cp520, czasami się nie włącza, dioda miga.

    Kolego jankers11 twój strzał jest celny bo wczoraj wymieniłem ten kondensator i tv ruszył. Dziwne jest tylko to że z 680p jego wartość zmieniła się na 13n i robił takie jaja. Pozdrawiam Dodano po 2 Tem drugi tv miał uszkodzony STR.

    TV Serwis   01 Sie 2007 08:07 Odpowiedzi: 4    Wyświetleń: 3279
  • Migające diody, ne555 migacz, schemat?

    Mam problem Potrzebuje schemat urządzenia, mianowicie mam diody 2 kolorowe cały połączyłem je równolegle i chce żeby migały raz jeden kolor a raz drugi kolor. Słyszałem ze idzie tak zrobić za pomocą przekażnika i kondensatora elektrolitycznego, jak to zrobić? Prosze o schemat, diody na nap 3V wspolny -

    Początkujący Elektronicy   19 Sie 2007 22:03 Odpowiedzi: 4    Wyświetleń: 5201
  • TV THOMSON 29DC410S nie startuje kontrolki migają.

    kod 45 oznacza również uszkodzenie kondensatora CL031 i CL035. Wymieniając bądź poprawiając luty na tych kond. telewizorki działają

    Początkujący Serwisanci   31 Sie 2007 18:37 Odpowiedzi: 3    Wyświetleń: 1628
  • Prosze o pomoc 2 diody migajace, miga jedna

    To dobrze że działa przeczytałem to już wcześniej, tylko w jakim celu dodałeś ten 1k opornik ?, ze zdjęcia wynika że jednak jest błędnie podłączony tranzystor bc238 i w takiej konfiguracji ma bardzo słabe wzmocnienie, i według mnie te dwa czynniki zadecydowały o tym że LED nie świecił. Co do regulacji czasu migania to możesz zwiększyć opór z tych co...

    Optoelektronika   08 Lis 2007 21:26 Odpowiedzi: 14    Wyświetleń: 1500
  • Kondensator 100uF ??? podtrzymanie

    troszke moze zle zrozumeiliscie mnie ale postaram sie dokladniej wytlumaczyc o co biega. Mianowicie mam blokade sensorowa wyzwalana mikrowylacznikiem ma ona dwa napiecia jedno stale caly czas leci +12v i z tego co wiem to ono jest podlaczone aby dioda mrugala a drugie jest do pozycji II klucyzka w stacyjce po rozebraniu ukladu tego dojrzalem tylko 2...

    Początkujący Elektronicy   27 Lis 2007 12:15 Odpowiedzi: 5    Wyświetleń: 3898
  • j-24 "Migajaca" Gwiazdka

    Witam! mam problem z produktem J-24 po zlutowaniu wszystkich części, gwiazda niestety nie miga tylko sie świeci. Czy może wie ktoś jak rozwiązać ten problem? Jestem początkujący ale sprawdzałem: -wszystkie Diody LED są podłączone prawidłowo (można to stwierdzić bo świecą) -rezystory sprawdzone miernikiem są ok -kondensatory włożone prawidło tak jak...

    Projektowanie Układów   16 Gru 2007 21:18 Odpowiedzi: 8    Wyświetleń: 2488
  • Jak przerobić ten migacz...

    Było gdzieś tu na elekrodzie coś ala stroboskop na diodach, zwykły tranzystor, potencjometr, rezystor i kondensator i właśnie potencjometrem ustawiało się częstotliwość migania diod, co prawda tam diody migały wszystkie naraz a nie tak jak tu naprzemian, ale sądzę, że napewno jakoś da to samo się tu zastosować, jutro jak będę miał czas i jak znajdę...

    Optoelektronika   09 Mar 2008 22:54 Odpowiedzi: 9    Wyświetleń: 2337
  • Latarka na polskich pdzespołach,zepsuta,nie działa migacz

    Mam problem ze starą latarką 5 pozycyjną na podzespołach polskich firm takich jak Cemi i Elwa. Problem jest następujący: W pięciopozycyjnej skali jest opcja na mruganie światła które nie działa. Układ jest zbudowany z : 3 oporników 2 tranzystorów i jednego kondensatora. 2 oporniki mają 300 ohm. Kondensator ma 47uf na 6,3v. Tranzystory to bc211 i bc177....

    Inne Serwis   10 Sie 2008 10:56 Odpowiedzi: 2    Wyświetleń: 1052