REKLAMA

kurs vhdl

Znaleziono około 52 wyników dla: kurs vhdl
  • Poprawny wewnętrzny Reset bez użycia pinu IO [VHDL].

    Mam problem z poprawnym resetowaniem układu podczas startu (power-up). Klasyczna metoda która działa jest taka: Więc nie wiem czy to poprawne. Inne źródła podają że poprawne: Więc widocznie zależy to od syntezera. Ja używam Lattica. W każdym razie mam jakieś problemy z ciągnie niedziałającymi kodami. Non stop jakieś warningi o ignorowaniu wartości inicjalizacyjnych,...

    Programowalne układy logiczne   14 Lis 2020 10:34 Odpowiedzi: 33    Wyświetleń: 1788
  • REKLAMA
  • Kurs vhdl w przykładach. Najlepiej po polsku.

    poszukuje kursu vhdl w przykładach najlepiej pl. Ale nie pogardzę englishem .

    Programowalne układy logiczne   04 Mar 2010 07:45 Odpowiedzi: 4    Wyświetleń: 8744
  • VHDL - Szybkie dodawanie liczb zmiennoprzecinkowych

    Tylko własnie muszę to napisać pod ISE Xilinx w VHDL na zaliczenie kursu ;/

    Programowalne układy logiczne   06 Cze 2009 15:34 Odpowiedzi: 2    Wyświetleń: 2172
  • REKLAMA
  • [VHDL] - Zrozumienie procesu

    Witam , dopiero raczkuje w praktycznym wykorzystaniu FPGA w języku VHDL, jednak co nie co umiem. A więc: mam napisaną obsługę SPI, która działa dobrze z STM32. Problem polega na tym, że dioda wskazująca otrzymanie nowych danych zachowuje się źle(np zmieni stan co 4 lub 5 bajt) gdy kod wygląda tak: --Parsowanie odebranych danych process (SPI_Busy)...

    Programowalne układy logiczne   31 Paź 2012 22:16 Odpowiedzi: 9    Wyświetleń: 3765
  • VHDL - Zrozumienie prostego programu na egzamin

    Siedzę i kombinuje wujkiem google od wczoraj i nic nie daje rady zrozumieć... Dodano po 2 Albo może zna ktoś godny polecenia kurs czy książkę o VHDL?

    Programowalne układy logiczne   28 Sty 2013 21:45 Odpowiedzi: 8    Wyświetleń: 2598
  • Praktyczny kurs wykorzystania układów programowalnych FPGA

    Witam wszystkich. Firma, w której pracuje chce zorganizować kurs dotyczący wykorzystania układów programowalnych FPGA. W ramach kilkudniowego kursu odbyłoby się wprowadzenie w temat układów programowalnych, przedstawienie układów kilku firm, opis zasady działania/budowy wybranych układów, Laboratoria na których realizowane byłyby przykładowe możliwości,...

    Ogłoszenia Elektronika   19 Wrz 2008 09:04 Odpowiedzi: 5    Wyświetleń: 3220
  • VHDl- prośba o podpowiedź

    Kupa błędów, nie rozumiesz jeszcze składni chyba vhdla tak na szybko zrobiłem taki układ chyba jak chcesz aby działał w załączniku znajduje się także test bench. Wszystko symulowałem w najnowszym ISE 13.2. Co do twojego "tworu" to przeanalizuj w komentarzach porobiłem notatki. ;) Ważna sprawa przejrzyj jednak Kompendium tam są informacje na temat który...

    Programowalne układy logiczne   06 Wrz 2011 12:01 Odpowiedzi: 7    Wyświetleń: 1456
  • [VHDL] Generowanie kodu ze schematu

    Do nauki VHDL'a to najlepiej wykorzystać przykłady z netu których nie brakuje oraz kursy. Ja tak się uczyłem. Najlepiej uczyć się na prostych przykładach stworzonych do tego celu a nie na tym co maszyna wymyśli. Kiedyś, z ciekawości, wygenerowałem licznik 8 bitowy z matlaba do vhdla i zapewniam że wygenerowany opis był bardziej zniechęcający do języka...

    Programowalne układy logiczne   14 Sty 2012 15:03 Odpowiedzi: 4    Wyświetleń: 1844
  • [FPGA] - Jaki kompatybilny zestaw i kurs

    Cześć! Mam pytanko do forumowiczów odnośnie kursu VHDL. Otóż wiem o tym, że już jest na forum milion postów dotyczących zarówno jaki zestaw wybrać oraz skąd się uczyć. Chodzi mi o to, że gdy uczyłem się procków np. AVR lub ARM, to zawsze kurs/książka były na podstawie jakiegoś konkretnego procka (ewentualnie zestawu z prockiem) i na nim były wszystkie...

    Programowalne układy logiczne   19 Sty 2015 21:51 Odpowiedzi: 7    Wyświetleń: 2184
  • REKLAMA
  • Szkolenie VHDL RK SYSTEM

    Projektowanie układów cyfrowych w strukturach FPGA i CPLD z wykorzystaniem języka VHDL Szkolenie obejmuje pełen zakres materiału pod kątem projektowania na FPGA XILINX rodzina Spartan. Poruszane są nie tylko zagadnienia z samego VHDLa, ale także inne zagadnienia związane z projektowaniem FPGA. Osoba, osoby biorące udział w szkoleniu po jego odbyciu...

    Ogłoszenia Elektronika   31 Lip 2009 20:00 Odpowiedzi: 2    Wyświetleń: 1568
  • REKLAMA
  • Poszukiwany Korepetytor (FPGA\Xilinx\ VHDL)

    O dziwo w sieci jest całkiem sporo materiałsów, nawet w języku polskim. Nie wiem co udało CI sie ściągnąć,ale ja pracuje już jakiś czas na VHDLu i ogromną większość rozwiązań problemów znalazłem w sieci. Pytanie jeszcze jak zaawansowane układy projektujesz. W razie czego odezwij sie na priv, to prześle Ci zipa z kilkoma dobrymi kursami/opisami i przykładami...

    Programowalne układy logiczne   09 Sty 2010 19:40 Odpowiedzi: 3    Wyświetleń: 2723
  • [VHDL] Algorytm PWM, jak to ugryzc ?

    A czego tu dokładnie nie rozumiesz? Prosty kodzik. Jak masz jakieś narzędzia do układów programowalnych (Xilinx/Altera) możesz podejrzeć schemat przepływowy RTL jak "wizualnie" wygląda taki układ. Oraz puścić sygnały testowe za pomocą testebench'a w symulatorze HDL i zobaczyć jak układ reaguje. Jeśli nie rozumiesz składni VHDL, polecam całkowicie za...

    Programowalne układy logiczne   12 Wrz 2010 16:32 Odpowiedzi: 4    Wyświetleń: 4061
  • Prośba o pomoc w skomentowaniu kodu źródłowego VHDL

    ...i części funkcji po prostu nie rozumiem, zwracam się do was z prośbą o jego w miarę przystępne opisanie Sporządziłeś język opisu kalkulatorka, a nie rozumiesz jego podstawowych składni :?: Nie ma tu maszyny stanów, ani żadnej funkcji generic. Takie basic of basic (; Tutaj masz przystępny i kolorowy [url=]Kurs VHDL'a Józefa Kalisza, mogę Ci jeszcze...

    Programowalne układy logiczne   04 Lip 2010 21:39 Odpowiedzi: 3    Wyświetleń: 2364
  • Zegar czasu rzeczywistego vhdl

    Moja rada jest tak dla Ciebie taka. Skoro Tymon odesłał cie do kompendium bo jesteś nowicjuszem to wypadło by przejrzeć to kompendium. Nie po to było utworzone aby tylko widniało. Jest tam taki duży zasób wiedzy że twój problem jesteś w stanie sam rozwiązać . I nie musisz pisać ze jesteś nowicjuszem i potrzebujesz pomocy. V. Turoriale VHDL: Polskie...

    Programowalne układy logiczne   06 Sty 2011 17:13 Odpowiedzi: 21    Wyświetleń: 3387
  • VHDL - od czego zacząć....???

    Chciałbym się smaodzielnie nauczyć VHDL-a; skąd wziąć oprogramownie i symulatory do sprawdzania projektów. (...) Dobrym rozwiazaniem wydaje sie propozycja oprócz książki z CD-romem mozna sie zaopatrzyć w płytki do wykonania zestawu ewaluacyjnego wykorzystywanego w tej książce, zreszta poczytaj sam co tam oferują. Oprócz tego w numerach od 03/04 do...

    Mikrokontrolery   08 Lut 2005 21:52 Odpowiedzi: 8    Wyświetleń: 3575
  • Tłumaczenie kodu w VHDLu

    A przypadkiem nie chodzi o wystąpienie zbocza narastającego (event) ? Możliwe, w tym przypadku tez miałem problem. W moim kursie, wytłumaczone to jest w ten sposób: - 'EVENT – równy TRUE, gdy zachodzi zmiana wartości sygnału, – ‘STABLE – równy TRUE, gdy nie zachodzi zmiana wartości sygnału – ‘LEFT - zwraca lewą granicę...

    Nauka Elektroniki, Teoria i Laborki   02 Lut 2013 02:29 Odpowiedzi: 3    Wyświetleń: 1050
  • VHDL sterowanie LCD. Płytka edukacyjna DIO2.

    Może to ja jestem jakiś inny ale nie prosiłem o pomoc w zaprogramowaniu wyświetlacza siedmiosegmentowego a wyświetlacza LCD. Czy mógłby ktoś w swej nieskończonej łaskawości rzucić okiem na program, który udało mi się napisać w oparciu o źródła internetowe. Byłbym wdzięczny gdyby któś wytknął mi ewentualne herezje. Jesli nie to chociaż pomóżcie mi dobrać...

    Programowalne układy logiczne   29 Sty 2005 02:50 Odpowiedzi: 8    Wyświetleń: 2641
  • [VHDL] Jak zapisać poprawnie program

    1) Dlaczego nie można inicjować sygnałów na poziomie ich deklaracji (czym to grozi)? np. Kod: architecture Main of Test is signal y1: std_logic_vector(7 downto 0):="00011000"; .... To nie prawda, że nie można jak coś takiego robić, jak znalazłeś taki tekst to od razu napisz do autora że... Czym to grozi to ci mogę napisać. Jest to po prostu wymuszenie...

    Programowalne układy logiczne   07 Lut 2010 10:31 Odpowiedzi: 6    Wyświetleń: 2353
  • MyHDL jako alternatywa dla VHDL i Verilog - opinie, doświadczenia, przyszłość

    Cześć chłopaki. Spotkałem się z czymś takim jak MyHDL. tu jakiś kurs Czy używaliście tego. Jeśli tak to czy polecacie ? i co o tym sądzicie. Ma to przyszłość? Ale MyHDL z drugiej strony to i tak konwertuje do vhdl lub veriloga. Ja myślę że vhdl to może nawet prostszy. I kiedy go tworzono to ci mózgowcy chyba wiedzieli co robią i robili go tak żeby był...

    Programowalne układy logiczne   30 Sty 2021 22:59 Odpowiedzi: 1    Wyświetleń: 720
  • Jak zrobic rejestr rownoleglo-szeregowy w VHDL

    Może mi ktoś pomóc /.../ to jest temat w sam raz na pierwsze ćwiczenia kursu okładów programowalnych czy vhdl, nie wymaga żadnych pomysłów, a podstawowej wiedzy; zajrzyj do książki jak się robi przerzutnik, potem spróbuj polaczyc szeregowo dwa; potem, ewentualnie, ktoś ci tu na elektrodzie podpowie co można poprawić, jeśli sam nie zrobisz tego perfekcyjnie;...

    Programowalne układy logiczne   24 Mar 2010 14:26 Odpowiedzi: 12    Wyświetleń: 4025
  • Zasada działania procesów VHDL w układach sekwencyjnych - taktowanie i wykonywanie instrukcji

    Chciałbym wiedzieć czy mam rację w pewnej kwestii. Nie wiem gdzie tego szukać a nikt w kursach nie porusza tego tematu. W układach sekwencyjnych sychronicznych (jakimi są chyba procesy w vhdl ale nie jestem pewien na 100% odpowiedzcie) wykonywane operacje zależą od zegara czyli to oznacza że każda pojedyncza instrukcja w vhdl w procesie wykonywana jest...

    Programowalne układy logiczne   28 Gru 2020 20:36 Odpowiedzi: 9    Wyświetleń: 1308
  • Jaki zestaw Development Kit do nauki FPGA i VHDL?

    Zastanawiam się nad kupnem Xilinx Spartan-3E 500 Starter Kit. Czy cena 600zl (kamami.pl) jest adekwatna do jego możliwości? Hej, ten zestaw można też dostać taniej u jednego z dystrybutorów Xilinx-a - w firmie Silica. Wysłałem do nich niedawno maila i łącznie z wysyłką wyszła by kwota rzędu 516 zł (zależy od aktualnego kursu dolara). Mają też dostępny...

    Programowalne układy logiczne   26 Cze 2008 20:04 Odpowiedzi: 39    Wyświetleń: 16610
  • vhdl problem z przyciskami

    Tutaj jest o filtrowaniu naciśnięć dość dobrze opisane w części 5 kursu [url=]Kamami

    Programowalne układy logiczne   18 Lut 2011 11:19 Odpowiedzi: 7    Wyświetleń: 1788
  • FPGA Spartan - Obsługa LCD VHDL

    Nie obraź się, ale w pierwszej kolejności powinieneś przejść kurs obsługi google i szukajki elektrody, a potem dopiero brać się za rozwiązywanie innych problemów.

    Programowalne układy logiczne   13 Maj 2014 12:23 Odpowiedzi: 3    Wyświetleń: 2382
  • Kurs MaxPlus++II "rysowanie programu" na przykładzie EPM3000/7000

    Super! Ściągnę program i będę próbował. Jedno, być może proste pytanie przed instalacją. Czy schemat można przekształcić w tym programie na VHDL lub inny popularny język opisu? Kompilator działa jak np Bascom (nie jak C), tak jak Bascom generuje z Basic jeżyk maszynowy, tak MaxPlus ze schematu generuje AHDL więc ciężko się w tym połapać. Ponadto generowany...

    Projektowanie Układów   22 Sie 2017 09:35 Odpowiedzi: 4    Wyświetleń: 1263
  • Inżynier Biomedyczny - Kurs Elektryka/Elektroniki czy Szkoła Policealna: Czy warto?

    Wydaje mi się, że być dobrym programistą w C++ jest w cenie, ale mogę się mylić. No chyba, że będziesz uczyć się programowania w VHDL'u, to bardzo intratny interes, kolega się tym zajmuje i powiem Ci, że dom już wybudował. Zlecenia po kilka tysięcy ma, robota w domu.... ale jest dobry. No tak tylko nasza nauka kończy się na kilku podstawowych funkcjach,...

    Nauka Szkolnictwo   15 Wrz 2022 21:44 Odpowiedzi: 10    Wyświetleń: 252
  • Zastosowanie FPGA do szybkich obliczeń

    Do górnictwa to obecnie FPGA się średnio nadają, jak już to jakieś ASIC Chyba tylko ASIC-e raczej bo zużycie energii jest jakby na 1szym miejscu w tej grze (sam nie gram). Chińczycy zresztą i tak przebija wszystkich bo energia elektryczna w okręgach przemysłowych jest dotowana i kosztuje z 5-7% tego co w Europie. Na chińskich farmach tylko ASIC-e teraz...

    Programowalne układy logiczne   17 Gru 2017 20:07 Odpowiedzi: 28    Wyświetleń: 2733
  • Kompedium wiedzy na temat CPLD/FPGA

    Witam!!! Na wstępie proszę abyście nic nie dopisywali żadnych odpowiedzi do tego tematu. Jak chcesz coś dodać od siebie to proszę o informacje na priva. Dokleję i poinformuje co kto dodał o ile ta informacja będzie coś wnosiła do tego kompendium. Chce wprowadzić taki zabieg aby czytelnicy nie wertowali tego posta co kto dodał. To ma ktoś otworzyć i...

    Programowalne układy logiczne   01 Lut 2016 15:02 Odpowiedzi: 2    Wyświetleń: 35242
  • Altera vs. Xilinx - wybór zestawu uruchomieniowego do pracy dyplomowej.

    Witam! Jestem zainteresowany rozpoczęciem na porządnie nauki VHDLa. W związku z tym chciałbym poznać opinię na temat co jest lepsze (tzn. co lepiej kupić :)): 1. Altera Cyclone EP1C12F324C8 czy 2. Xilinx Spartan-3 XC3S1000-4FG456C jednoznacznie to się nie stwierdzić co wybrać lepiej. Obie rodziny układów są dostępne w polsce za rozsądną cenę. FPGA...

    Programowalne układy logiczne   15 Lis 2006 16:44 Odpowiedzi: 2    Wyświetleń: 4548
  • Czym są układy programowalne?

    Czym są układy programowalne? Z moich obserwacji wynika, że większość hobbystów na uczelni bądź na elektrodzie coś na ten temat słyszała. I tylko tyle. A wielka szkoda, bo układy programowalne potrafią robić rzeczy, których przy pomocy procesora zrobić się po prostu nie da. Na początek jednak przypomnijmy definicję procesora - układ (niekoniecznie scalony!),...

    Artykuły   19 Lip 2013 10:20 Odpowiedzi: 13    Wyświetleń: 16698
  • Układy programowalne: baza wiedzy

    Witam! Myślę, że dobrym pomysłem byłoby stworzenie tematu, który byłby bazą linków do interseujących zasobów na temat układów programowalnych (i 'przyklejenie' go, aby był dobrze widoczny). Mimo, że każdy w zasadzie korzysta z Google, z całą pewnością nie jest w stanie 'wyłapać' wszystkiego, co w tej kwestii interesujące. Dodatkowo, takie źródło wiedzy...

    Programowalne układy logiczne   27 Lip 2006 09:44 Odpowiedzi: 0    Wyświetleń: 1823
  • Moduły Sipeed Tang Nano/Gowin FPGA - wymiana doświadczeń, dyskusja techniczna

    Wg mnie najlepiej uczyć się poprzez wykonywanie projektów, zacząć od prostych a potem je rozbudowywać. W sieci jest mnóstwo informacji, poradników kursów itp. Nie jestem w stanie wskazać jakiego jednego konkretnego który uważałbym za najlepszy. Dobra książka o VHDL lub Verilog też nie zaszkodzi. Osobiście piszę w VHDLu, chociaż gdybym dzisiaj jeszcze...

    Programowalne układy logiczne   26 Wrz 2023 08:13 Odpowiedzi: 18    Wyświetleń: 882
  • Mobilny dwukanałowy oscyloskop z wykorzystaniem układu FPGA

    Witam! Opisany tutaj projekt jest moją pracą inżynierską na Politechnice Wrocławskiej dlatego nie będzie to opis bogaty w schematy i pliki źródłowe :) Schemat blokowy: ZASILANIE Całe urządzenie zasilane jest z akumulatora Li-ion, który to jest doładowywany przez układ MCP73837, po podłączeniu pod gniazdo USB lub zewnętrzny zasilacz. Proces ładowania...

    DIY Konstrukcje   08 Maj 2013 08:29 Odpowiedzi: 12    Wyświetleń: 22503
  • Przenośny dwukanałowy oscyloskop cyfrowy na FPGA & ARM (max 250MSPS/kanał)

    Witam! Opisany tutaj projekt jest był pracą inżynierską na Politechnice Wrocławskiej dlatego w niektórych kwestiach jest trochę niedojrzały (Teraz kilka rzeczy bym poprawił - człowiek całe życie się uczy - o tym poniżej) :) Schemat blokowy: ZASILANIE Całe urządzenie zasilane jest z akumulatora Li-ion, który to jest doładowywany przez układ MCP73837,...

    DIY Konkurs   22 Sty 2018 21:36 Odpowiedzi: 50    Wyświetleń: 18192
  • Szukanie pracy dla elektronika: wskazówki, staże, praktyki, przeprowadzka do Warszawy

    Dzień dobry, nie wiem, czy piszę w odpowiednim temacie. Szukam wskazówek na temat szukania pracy dla elektronika. Mam 26 lat, w tym semestrze bronię inżyniera na Politechnice Warszawskiej (studia WAT -> wylot -> praca -> studia PW); Od jakiegoś czasu szukam pracy/ praktyk/ stażu w elektronice ale jak do tej pory nic z tego. W przypadku elektromontera...

    Nauka Szkolnictwo   27 Maj 2021 09:09 Odpowiedzi: 2    Wyświetleń: 393
  • Wybór szkoły średniej: elektronika czy elektryka? Dylemat ucznia klasy 8.

    Nic takiego nie proponowałem, radzę czytać ze zrozumieniem. Elektronika to dość szeroka branża i jest stosowana w różnych dziedzinach życia, to nie tylko serwisy RTV/PC. Proszę w takim razie o konkrety - na jakich stanowiskach związanych z elektroniką można pracować po technikum elektronicznym? Ty chyba nie masz bladego pojęcia ile jest elektroniki...

    Nauka Szkolnictwo   17 Kwi 2022 18:29 Odpowiedzi: 74    Wyświetleń: 2376
  • [FPGA] - Jakie mają możliwości?

    Nie wiem w jakim języku chcesz programować FPGA, ale jeśli wybrałbyś VHDL, to tutaj jest dobry kurs - > .. Na początek polecam też książkę " Język VHDL w praktyce " pana Józefa Kalisza... ;)

    Programowalne układy logiczne   25 Sty 2010 22:02 Odpowiedzi: 9    Wyświetleń: 4607
  • AHDL - z czego się uczyć?

    Ponieważ pracuje a raczej będę pracować przede wszystkim na układach altery. Do tego, słyszałem ze to nowocześniejszy i prostszy język/.../ ja zaczynalem od ahdl, ale tylko dlatego, ze do instalacji max+ byl dolaczony manual ahdl, a nie mialem pod reka ani podrecznika vhdl, ani verilog; ahdl nie jest prostszy od verilog, ani nie jest bardziej 'nowoczesny'...

    Programowalne układy logiczne   29 Wrz 2009 15:20 Odpowiedzi: 12    Wyświetleń: 3975
  • Ile ATmega można połączyć równolegle...

    Oczywiście, że się da, to wręcz idealne dla nich zastosowanie. Jeśli nie znasz VHDLa, czy jego odmian to pogoogluj, kursów w necie jest pełno. A jak nie masz ochoty się uczyć to w np. WebISE (darmowym) Xilinxa możesz po prostu narysować schemat elektryczny z dostępnej biblioteki układów, a program go zsyntetyzuje i będziesz miał kostkę o pożądanych...

    Mikrokontrolery AVR   07 Kwi 2010 11:48 Odpowiedzi: 20    Wyświetleń: 3137
  • Poszukuję schematu mikroprocesora

    test działania mikroprocesora najlepiej zrobić jako symulacje na FPGA w VHDLu i symulacji, na kursie na studiach robiliśmy taki procesor idealnie wyjaśnia zasadę działania procesora, jego ALU jednostki sterującej, etapach wykonywania instrukcji.

    Początkujący Naprawy   23 Lut 2010 15:36 Odpowiedzi: 6    Wyświetleń: 1082
  • Programowalne układy logiczne

    Literatura na polskim rynku: 1) "Język VHDL" Kevin Skahill 2)"Układy FPGA w przykładach" Majewski|Zbysiński 3)"Projektowanie układow cyfrowych z wykorzystaniem języka VHDL" Mark Zwoliński 4)"VHDL" Włodzimierz Wrona WWW 1) 2) FPGA opisane naprawdę wystarczające jak na twój poziom *) (bardzo ok i masz rysunki do wykorzystania podczas prezentacji) 3) 4)...

    Programowalne układy logiczne   10 Cze 2010 23:09 Odpowiedzi: 16    Wyświetleń: 3836
  • Starter kit CPLD Xlinx jaki kupić?

    Postanowiłem zakupić płytkę testową do CPLD. Zaczynam uczyć sięk podstaw, mam kilka pomysłów. Zaczynam od kursu CPLD z EdW. I mam dylemat jaką płytkę testową kupić? Czy kupić tą z kursu: wygląda dość ubogo. Czy może takie coś: Ta prezentuje się bardzo dobrze jak dla mnie. Wiem ze na początku nie wykorzystam możliwości tej pierwszej (na początku zacznę...

    Programowalne układy logiczne   19 Wrz 2011 01:06 Odpowiedzi: 7    Wyświetleń: 3007
  • VHLD programowanie - o co w tym chodzi?

    Trzeba zacząć od porządnej lektury - bardzo dobrą książką jest "Język VHDL w praktyce" Józefa Kalisza.. Jeśli nie masz dostępu do książki to możesz zaczać od jakiegoś tutoriala online, np: . Ogólnie rzecz ujmując, jeśli programowałeś już uC np w C, lub innym języku, to jak to kiedyś napisał jeden z kolegów na tym forum - "im szybciej pozbedziesz sie...

    Programowalne układy logiczne   30 Mar 2009 22:21 Odpowiedzi: 2    Wyświetleń: 1374
  • Potrzebny ARM z wieloma timerami

    tmf to może udzielisz mi kursu , nie wiedziałem że nie trzeba znać języka VHDL czy podobnego żeby to wykonać, byłbym w stanie zapłacić ci za szkolenie (kurs) "na żywo" co ty na to ?

    Mikrokontrolery ARM   21 Mar 2011 09:08 Odpowiedzi: 31    Wyświetleń: 2966
  • Nauka programowania w javie

    Szukam i szukam i nic ciekawego na elektrodzie nie znalazłem. Interesuje mnie poszerzenie wiedzy z elektroniki na informatykę jako zabezpieczenie na przyszłość że tak powiem. Do tej pory zajmowałem się w zasadzie tylko HTML, Logo, Siemensami S7 200. Najchętniej nauczył bym się javy, bo w tym widzę przyszłość. Android, aplikacje mobilne na tel itd....

    Programowanie Początkujący   15 Cze 2012 08:16 Odpowiedzi: 25    Wyświetleń: 3652
  • ESP8266 Maksymalna szybkość zmian stanu pinów.

    Jak programuje się takie CLPD? Rysuje się logikę z bramek czy jakoś opisuje? Można tak i wychodzi dośc dobrze. Rysujesz schemat z gotowych układów 74xx i bramek, przerzutników, itp. Później symulacja. Jak symulacja ok, wgrywasz (BitBlaster to chyba się nazywa, cena ok 60zł, albo, jak masz LPT, kilka rezystorów) i musi działać. Możesz podrzucić jakieś...

    ESP8266 i ESP32   05 Cze 2019 20:00 Odpowiedzi: 16    Wyświetleń: 906
  • Początki z FPGA (podstawy, programowanie, środowiska, ciekawe projekty)

    Witam forum! Zachęcony artykułem (at)piotrva na głównej stronie elektroda.pl pomyślałem, że spróbuję swoich sił z FPGA. Miałem na studiach kilka wykładów z FPGA (więc absolutne ABC jest mi znane). Chciałem zapytać krótko: od czego zacząć? Czy lepiej iść w Alterę (czyli Intela) czy Xilinxa? (chodzi mi o koszty / układów / zestawów startowych i oprogramowania;...

    Programowalne układy logiczne   29 Paź 2019 12:15 Odpowiedzi: 6    Wyświetleń: 1053
  • Jestem nowicjuszem - Kilka pytań o układy programowalne

    Dziękuję za odpowiedź! No i to właśnie chciałem wiedzieć - czy istnieje taka sfera problemów w których FPGA będzie bardziej wydajne od komputera PC :) Ale to wszystko jest skomplikowane. W tradycyjnych układach PLA/PLS, PROM, PAL/GAL było tylko kilka warstw z prostymi funktorami. A tutaj wszystko jest tak rozbudowane - jakieś gotowe układy o których...

    Programowalne układy logiczne   19 Mar 2007 21:53 Odpowiedzi: 19    Wyświetleń: 6720
  • Wszystko o układach programowalnych... podstawowe informacje

    Witam, poprzedni problem rozwiązałem wg. podpowiedzi "pndemon". Language Templates zawiera wiele przykładów zastosowania języka VHDL do własnych potrzeb ;) Mam kolejne pytanie, na które nie znalazłem jeszcze odpowiedzi w żadnym kursie. Potrzebuję wywoływać ten sam fragment kodu dla kilku zmian zbocza sygnału wejściowego. Wiem, że można dać IF i korzystając...

    Programowalne układy logiczne   15 Sie 2008 11:56 Odpowiedzi: 95    Wyświetleń: 15240
  • [FPGA]Co to jest i do czego służy

    Mam już ISE Web Pack'a teraz potrzebuje jeszcze dobrego kursu który będzie bazował na tym programie, język jaki mnie interesuje to VHDL lub Verilog . Znacie jakieś dobre kursy?

    Mikrokontrolery   14 Wrz 2009 10:19 Odpowiedzi: 25    Wyświetleń: 7079
  • Łączenie schematów w Webpack ISE

    VHDL, czy też Verilog fajna sprawa. Tutaj masz według mnie 3 najlepsze kursy/wykłady na ten temat: [url=]VHDL [url=]Kurs Józefa Kalisza [url=]Język Opisu Sprzętu - przeklikać z dydaktyka File -> Open Example masz dość sporo przykładów jak to wygląda. Też mieszanie HDL z schematem (;

    Programowalne układy logiczne   15 Lis 2010 18:59 Odpowiedzi: 92    Wyświetleń: 9367
  • Czy po technikum mechatronika mogę być elektrykiem

    Hehe, Nie zgodzę się z tobą :D. Języki programowania tylko samemu! Uczyłem się na studiach C, C++, VHDL, VERILOGA, i jeszcze parę mniej ważnych i wiem, że dobrych wykładowców jest niewielu z tego tematu (przynajmniej na moim wydziale). Jeśli chodzi o kursy w internecie i różnych zapaleńców, którzy chcą kogoś nauczyć programować to jest tego od groma....

    Nauka Szkolnictwo   12 Kwi 2012 01:52 Odpowiedzi: 31    Wyświetleń: 6515