REKLAMA

licznik modulo przerzutnik

Znaleziono około 178 wyników dla: licznik modulo przerzutnik
  • [vhdl] problem z licznikiem na RS

    Witam, Mam problem ze zrobieniem synchronicznego licznika modulo 11 na przerzutniku SR. Tabelę dla tego licznika mam zrobiona w zeszycie dlatego będę mógł ją wkleić jutro jak brat przyjedzie z cyfrówką. Otóż, problem polega na tym że mam kod napisany cały w vhdl, wszystkie funkcje opisane i podłaczone zgodnie z zasadami. Problem pojawia sie już po kompilacji....

    Mikrokontrolery   08 Maj 2009 20:06 Odpowiedzi: 4    Wyświetleń: 1815
  • Licznik asynchroniczny mod 9 z przerzutnikami D i bramkami

    Hej, Na zajęciach musieliśmy zbudować licznik asynchroniczny modulo 9 z przerzutnikami D i bramkami. Zarówno dwa warianty: 1) Zliczający do przodu 2) Zliczający do tyłu Z modulo 16 oraz modulo 8 nie ma najmniejszego problemu, natomiast nie wiem jak teraz z niego zrobić modulo 9 (zarówno jak i 10-15). Od czego tutaj powinienem zacząć?

    Nauka Elektroniki, Teoria i Laborki   15 Maj 2021 17:26 Odpowiedzi: 2    Wyświetleń: 684
  • REKLAMA
  • Synchroniczny licznik modulo 7 na przerzutnikach JK MS

    Jak zrobić synchroniczny licznik modulo 7 na przerzutnikach JK MS?

    Początkujący Elektronicy   17 Mar 2005 17:57 Odpowiedzi: 2    Wyświetleń: 3319
  • REKLAMA
  • licznik modulo 53 rewersyjny

    Witam i szukam pomocy ratujcie!!! Potrebuje licznik modulo 53 na przerzutnikach J,K rewersyjny(liczaczacy w przód i w tył) w tym schemat oraz przebiegi czasowe. pilne!! pomóżcie. Z góry dzięki. oto mój adres: wishmaster(at)tenbit.pl

    Elementy Elektroniczne - zamienniki i identyfikacja   16 Lis 2005 17:40 Odpowiedzi: 0    Wyświetleń: 1519
  • Układ kombinacyjny. Licznik rewersyjny modulo 5.

    Witam Serdecznie Szanownych Grupowiczów! Mam problemik..dostałem zadanie na projekt przejściowy z techniki cyfrowej o takiej treści: "zaprojektować układ kombinacyjny generujący funkcję przełączającą o zbiorze true : T = {0, 1, 3, 5, 6, 7, 12, 13}. Znaleźć postać minimalną; alternatywną oraz koniunkcyjną tej funkcji i narysować odpowiednie schematy...

    Początkujący Elektronicy   30 Maj 2007 07:26 Odpowiedzi: 2    Wyświetleń: 2454
  • Licznik modulo 5

    Witam serdecznie sznownych kolegów Panowie mam do zaprojektowania z układów sekwencyjnych licznik rewersyjny modulo 5 (na przerzutnikach JK)..może kóryś z szanownych kolegów posiada taki schemacik lub wie jak to zrobić..albo skąd pobrac podobny schemat Pomóżcie Koledzy Wielkie dzieki

    Początkujący Elektronicy   18 Lip 2007 19:39 Odpowiedzi: 2    Wyświetleń: 4476
  • Licznik modulo 26 na przerzutnikach JK. Ma omijać 18.

    Witam. Mam problem z licznikiem modulo 26 na przerzutnikach JK. Licznik ma liczyć od 1 do 25, pomijając numer 18. Nie wiem dlaczego, ale pomija mi także numer 20... I pytanie: czy wie ktoś jak 'przywrócić' tą 20?

    Początkujący Elektronicy   13 Gru 2008 05:08 Odpowiedzi: 2    Wyświetleń: 3009
  • Jak zrobić licznik modulo 8 na przerzutnikach JK?

    Witam, mam zrobić licznik modulo 8 na przerzutnikach JK. Mam z tym duży problem, gdyby ktoś mógłby mi wytłumaczyć jak to zrobić byłbym bardzo wdzięczny ;)

    Nauka Elektroniki, Teoria i Laborki   20 Paź 2020 18:46 Odpowiedzi: 3    Wyświetleń: 447
  • Gdzie znaleźć schemat licznika mod 16 JK z 4 diodami?

    Witam. Potrzebuje schemat licznika modulo 16 na przerzutnikach typu JK z 4 diodami... i kompletnie nie wiem gdzie go znaleźć...

    Początkujący Elektronicy   04 Cze 2008 08:15 Odpowiedzi: 7    Wyświetleń: 2530
  • REKLAMA
  • Jak zbudować taki licznik?

    Troszeczkę zbyt mało precyzyjne - on sam ma się przełączać 7 - 12 czy można to zrobić np. przełącznikiem ? Chcesz go zbudować fizycznie czy tylko projekt ? Na początek zanim będzie odpowiedź to poszukaj sobie czegoś o licznikach modulo. Ten licznik liczy do 13, potem sie resetuje i liczy sobie od nowa i tak bez konca :) zeby sie nie resetowal musisz...

    Elementy Elektroniczne - zamienniki i identyfikacja   28 Maj 2007 18:39 Odpowiedzi: 4    Wyświetleń: 2037
  • zapytanie o dzielnik modulo 10 w modelsimie

    Witam! Mam pytanie: Napisalem kodem w modelsimie schemat, ktory przesylam ponizej. Jest to schemat licznika modulo 10 (przerobiony poprostu z mod 16 licznik 7490). Przerzutniki JK dzialaja poprawnie (sprawdzilem ich dzialalnosc osobno), lecz gdy podlaczylem uklad do calosci mam pewien blad... a mianowicie: Wiadomo, ze przerzutnik JK dziala na opadajace...

    Początkujący Elektronicy   03 Sty 2008 18:57 Odpowiedzi: 1    Wyświetleń: 1428
  • REKLAMA
  • Modulo 3 * Modulo 5, licznik zliczający do 15?

    Ale zegar dla licznika modulo 5 biore wlasnie z bitu 1(czyli wyjscia licznika modulo 3 ) i wychodzi cos takeigo: Q0-> 010 010 010 010 010,010 010.... Q1-> 001 001 001 001 001,001 001.... Q2-> 000 111 000 111 000,000 111.... Q3-> 000 000 111 111 000,000 000.... Q4-> 000 000 000 000 111,000 000.... Do zerowania licznika modulo3 nie wykorzystuje bramki...

    Początkujący Elektronicy   07 Lis 2006 20:13 Odpowiedzi: 7    Wyświetleń: 3390
  • Liczniki typu JK i D proste pytanie a zarazem ich różnice

    Mam np do wykonania 3 bitowy licznik asynchroniczny modulo 3 liczacy w dol, zrealizowany o przerzutniki jk. Wyglada on tak I teraz pytanie czy wyglada on tak samo w oparciu o przerzutniki D ? Na wstępie moge powiedziec ze jedyne co bylo na tescie to pokazana negacja zegara dlatego reaguje na zbocze opadajace... i tu kolejne pytanie, czy D tez reaguje...

    Początkujący Elektronicy   17 Wrz 2012 12:01 Odpowiedzi: 2    Wyświetleń: 1887
  • Licznik modulo 44 na układzie 7493

    Jeśli dobrze rozumiem to liczenie modulo N polega na liczeniu do wartości N-1 ponieważ liczenie zaczyna się od 0 które jest w tym przypadku pierwszą z 44 liczb. W zeszycie mam tylko schemat jak na na tym układzie zbudować licznik modulo 10 który składa się z przerzutników JK, nie mam punktu odniesienia aby zrozumieć które połączenie powstaje na jakiej...

    Projektowanie Układów   24 Lut 2014 18:01 Odpowiedzi: 3    Wyświetleń: 2634
  • Licznik asynchroniczny modulo

    Witam, od kilku dni staram się rozgryźć na czym polega projektowanie liczników jednak bez wyraźnych efektów, dlatego postanowiłem poprosic o pomoc. Zadanie polega na zaprojektowaniu licznika asynchronicznego modulo 18 z dwoma przeskokami. Do tego należy sporzadzic wykresy czasowe i narysować przerzutniki. Sety, resety oraz zbocza reagują tak jak zaprojektujemy....

    Nauka Elektroniki, Teoria i Laborki   02 Cze 2009 12:15 Odpowiedzi: 4    Wyświetleń: 10743
  • licznik synchroniczny przełączający światła diod

    Na samych bramkach to się może udać ( zrobisz układ kombinacyjny) jednak ma się on zapętlać (pewnie sterowany z jakiegoś generatora) dlatego układ będzie skomplikowany. Najlepiej użyć przerzutników. Co do wykonania to obecnie nie mam czasu ale może coś pomyślę. Można by zrobić licznik modulo 5 po 5 impulsie wraca do początku i tak zapętla te 4 kombinacje...

    Projektowanie Układów   11 Cze 2012 12:37 Odpowiedzi: 6    Wyświetleń: 2261
  • Schemat licznika 0-1-2-3-4-1-2-4-8-4-3-2-1-0 na przerzutnikach

    Chciałbym coś dodać w uzupełnieniu do wypowiedzi kolegi _jta_. Generalnie można tu wyróżnić 13 stanów. Potrzebne są więc cztery przerzutniki (np. JK). Odpowiednio można stworzyć funkcję przejść, która steruje poszczególne wejścia J i K (zależnie od aktualnej wartości na wyjściach Q, by przejść do nowej wartości). Potrzeba zatem osiem tabel Karnougha....

    Początkujący Elektronicy   22 Maj 2020 09:59 Odpowiedzi: 3    Wyświetleń: 810
  • Schemat asynchronicznego licznika modulo 111 na przerzutnikach JK - pytanie o tabelę prawdy

    Cześć, mam problem z licznikiem asynchronicznym modulo 111 liczącym do przodu. Potrzebuję zbudować schemat takiego licznika. Czy trzeba zacząć od tabeli prawdy?

    Nauka Elektroniki, Teoria i Laborki   01 Gru 2022 20:54 Odpowiedzi: 1    Wyświetleń: 195
  • Propozycje wykonania licznika modulo 70

    Podaję ci układ na 7493.7490 ze względu na wewnętrzne sprzężenia liczy mod 10,a nie mod16 i nie chce mi się przeliczać sprzężeń.Chodzi o zrozumienie zasady podziału.70 w kodzie binarnym to 0100 0110.Czyli impuls resetu musimy brać z wyjścia QB;QC pierwszego licznika i QC drugiego.Podajemy sygnały na diody stanowiące z rezystorem i źródłem VDC=5V iloczyn...

    Początkujący Elektronicy   24 Kwi 2005 12:28 Odpowiedzi: 7    Wyświetleń: 1671
  • zadanie-koszmar.. dzielnik czestotliwosci na przerzutniku.

    to Ci napewno pomoze, znalzlem to w ksiazce od cyfrowek.......... jest to licznik modulo 4:) czyli jest to dzielnik czestotliwosci przez 4:) niestety nei wiem jak zrobic z JK dzielnik czestotliwosci przez 5:( cyfry nie sa moja mocna strona:)

    Początkujący Elektronicy   09 Kwi 2007 06:08 Odpowiedzi: 5    Wyświetleń: 3028
  • Liczniki symulacja, licznik mod5, jak to zrobić? EWB

    Licznik 74192 liczy w górę maksymalnie od 0 do 9, w dół może liczyć od 15 do 0 o ile po zerze będziemy wpisywać równolegle na wejściach A,B,C,D odpowiednie liczby >9 na 74193 masz licznik binarny od 0 do 15 z możliwością skracania przez wpisanie liczby Skracanie cyklu liczenia polega na połaczeniu wyjścia BORROW (przy liczeniu w dół) lub CARRY (przy...

    Początkujący Elektronicy   25 Lut 2008 17:37 Odpowiedzi: 4    Wyświetleń: 3719
  • Licznik asynchroniczny modulo 8

    Znaczy nie masz mutlisima a pragniesz zobaczyć przebiegi, czy masz multisima i nie potrafisz tego zrobić? Ja to mogę simnąć w spectrze dla standard celi z różnych technologii CMOS/SOI od 130 do 350nm ale po co? Sytuacja jest prosta. Licznik jest asynchroniczny, przerzutniki mają skończony czas propagacji, więc dostaniesz impulsy fałszywe, trwające odpowiednią...

    Nauka Elektroniki, Teoria i Laborki   28 Gru 2014 15:25 Odpowiedzi: 2    Wyświetleń: 1221
  • Licznik modulo asynchroniczny 25

    witam Mam nie maly problem na piatek mam oddac zaprojektowany przezemnie licznik asynchroniczny modulo 25. Jezeli ktos moglby mi udzieliec jakis wskazowek jak go zbudowac tj. jak zbudowac go przerzutnikow JDK czy JK, ile ich ma byc? moze ktos ma juz zrobiona tabele stanow i moze mi przeslac (byloby super :) z gory dzieki za wszelka pomoc!! pozdrawiam...

    Początkujący Elektronicy   22 Sty 2004 01:17 Odpowiedzi: 1    Wyświetleń: 6047
  • Liczniki [HELP] prosze

    schematy: Potrzebuje licznik zbudowany z przerzutnikow JK , modulo 6<br> Licznik odejmujacy JK modulo 6<br> Licznik modulo 8 wykorzystujac uklad scalony UCY 7490 I schemat licznika modulo 24 na JK prosze o pomoc

    Początkujący Elektronicy   16 Gru 2005 20:56 Odpowiedzi: 1    Wyświetleń: 1101
  • Problem z licznikeim MOD 14 synchronicznym w EWB 5.12

    Witam. Mam problem z zasymulowaniem licznika modulo 14 synchronicznego na przerzutnikachh JK w programie Electronic workbench 5.12. Po zaprojektowaniu i zasymulowaniu licznika (wszystkie funkcje logiczne poprawnie zminimalizowane, przynajmniej tak mi sie wydaje) alw e EWb liczy poprawnie tylko raz potem sie zapętla. Proszę o przeanalizowanie schematu...

    Projektowanie PCB   19 Cze 2006 22:47 Odpowiedzi: 1    Wyświetleń: 1237
  • Licznik-Podstawy Techniki Cyfrowej

    n=5 Treść taka jak powyżej, do dyspozycji mam elementy o numerach katalogowych: 7400 7404 7410 7420 7483 7485 7486 74175 74181 74194 Jak się za to zabrać? Czy to licznik modulo 6, który mam zrealizować na 3 przerzutnikach? Jak zrealizować mnożenie?

    Nauka Elektroniki, Teoria i Laborki   24 Lis 2016 20:26 Odpowiedzi: 0    Wyświetleń: 792
  • Sshemat Licznika MODULO 524

    Witam wszystkich Potrzebuje pomocy a mianowicie: Musze wykonać schemat w EWB licznika MODULO 524 - Czyli liczy od 0 do 523 Wykonuje go w taki sposób, że: - na samym początku bramka schmitta, - na każdą liczbę (czyli: jedności, dziesiatki, setki) przypadają 4 przerzutniki JK liczące do 16 - ale ograniczone aby liczyły do 9. - Jak jedności doliczą do...

    Projektowanie Układów   13 Cze 2006 12:28 Odpowiedzi: 9    Wyświetleń: 2947
  • licznik modulo 13 z przerzutników D

    jak w temacie Musze zaprojektować i zasymulować licznik modulo 13 zbudowany z przerzutników typu D. Wyszło mi takie coś: tu moje pytanie, czy jest to dobrze zaprojektowane i jaki sygnał mam podać na wejście ustawiające (PRE). Czy na wejście D też trzeba podać jakiś sygnał? Licznik symuluje w programie OrCad. Po podaniu na wejście PRE stanu "0" na wszystkich...

    Początkujący Elektronicy   07 Cze 2007 20:02 Odpowiedzi: 2    Wyświetleń: 2579
  • Licznik modulo 12 na przerzutniku D .....

    Witam Mam do zaprojektowania licznik modulo 12 zliczający do tyłu na przerzutnikach D. Rozpisałem sobie jak mają zmieniać się stany poszczególnych bitów w każdym kroku podstawiłem to do tablicy przerzutnika D i otrzymany wynik rozpisałem w tabelach Karnaugha. Następnie wyniki wrzuciłem do workbench-a 5.12 i niestety układ nie działa jak należy. Poniżej...

    Nauka Elektroniki, Teoria i Laborki   02 Cze 2009 20:51 Odpowiedzi: 4    Wyświetleń: 9477
  • Rozpoznanie licznika JK (modulo ile) oraz sekwencja stanów licznika JK

    Sprobuję odpowiedzieć na 1-sze: Pierwszy przerzutnik zmienia stan na przeciwny co drugi takt zegara czyli modulo 2, na następnej części masz napisane 3:1 czyli pewnie modulo 3, co by dawało modulo 6. Ale głowy nie dam sobie za to uciąć:) Wiesz jak działa przerzutnik JK ? Rozpisz wszystkie stany zaczynajac od 111. I juz. Mi wyszła odpowiedź B.

    Początkujący Elektronicy   08 Gru 2017 19:28 Odpowiedzi: 3    Wyświetleń: 1326
  • Jak zacząć projektowanie licznika asynchronicznego modulo 18 na przerzutnikach JK?

    Witam. Jestem początkującą osobą każda wskazówka jest ważna. Mam pewien problem. Chciałbym zrobić licznik modulo 18. Wiem że najpierw muszę zacząć od zrobienia schematu. Nie prosze o podanie gotowców itp ale prosiłbym o jakąś pomoc w wejście w temat. 1. Od czego powinienem zacząć? Jakieś pierwsze kroki które powinienem zrobić zaczynając wogóle rysowac...

    Początkujący Elektronicy   04 Kwi 2020 15:19 Odpowiedzi: 2    Wyświetleń: 456
  • Licznik

    Witam! Mam prosbe czy ktos wie gdzie mozna znalezc schemat licznika modulo 16 zbudowanego na ukladach 7493 ? Bardzo ale to bardzo potrzebuje ten schemat płytki drukowanej. Z gory wielkie dzieki!!!!!!! P.S. MA on zliczac impulsy generowane przez generator astabilny zlozony z dwoch przerzutnikow monostabilnych. schematu płytki nigdzie nie moge znalezc...

    Projektowanie Układów   23 Lut 2004 00:09 Odpowiedzi: 3    Wyświetleń: 1299
  • Schemat licznika modulo 36 na przerzutnikach JK

    Muszę zbudować licznik modulo 36 najlepiej na przerzutnikach JK, bo będzie najłatwiej. Do budowy potrzebuję schemat, ewentualnie dokładny opis montażu i wykaz elementów potrzebnych do montażu. Z góry dziękuję za odpowiedź.

    Początkujący Elektronicy   25 Maj 2005 01:07 Odpowiedzi: 3    Wyświetleń: 2306
  • Przerzutnik JK - zasada działania

    PILNE!!!! Nie rozumiem zasady działania liczników asynchronicznych z przerzutnikami JK. Czy jest jakas zależność miedzy kolejnymi wyjściami w tabeli stanów? Potrzebuje schematów oraz tabeli stanów dla licznika modulo 21 (połączenie liczników scalonych 7492 i 7493) oraz licznika modulo 5. Potrzebuje rówznież dokładnego opisu syntezy układu licznika poprzez...

    Początkujący Elektronicy   11 Mar 2006 19:15 Odpowiedzi: 1    Wyświetleń: 2901
  • licznik rewersyjny modulo 8 z przerzutników JK

    prosze o pomoc mam za zadanie zaprojektowac licznik rewersyjny modulo 8 z przerzytników typu JK , jesli ktoś coś ma to prosze o maila pod adres dawid205(at)vp.pl lub odpowiedź do tego posta !!plis!!

    Początkujący Elektronicy   26 Lis 2006 14:57 Odpowiedzi: 0    Wyświetleń: 2040
  • Schemat licznika modulo 10 na przerzutniku typu D.

    Witam wszystkich. Mam problem odnośnie pracy domowej... Muszę wykonać i zrobić schemat licznika mod10 na przerzutniku Typ D, zliczającego do przodu. Może ktoś pomoże?

    Początkujący Elektronicy   07 Kwi 2008 20:56 Odpowiedzi: 2    Wyświetleń: 3061
  • Licznik modulo 10 na przerzutniku typu D - jak zacząć?

    Witam Chciałbym zaprojekotować licznik modulo 10 na przerzutniku typu D. Potrzebne mi to do szkoły i nie wiem jak sie za to zabrać. Z góry dzieki za pomoc

    Początkujący Elektronicy   24 Wrz 2008 08:44 Odpowiedzi: 3    Wyświetleń: 3149
  • Licznik modulo 12 synchroniczny

    możesz to zrobić na przerzutnikach JK, proponuje od rozpisania wszystkich przejść na grafie Moore'a, będziesz miał 12 stanów przejść począwszy od 0 stanu do 11. dla zerowego stanu licznik pokarze 11 (w kodzie NB Y=1011) dalej stan 2(1001), 3 (1001) 4 (1000) 5 (0111) 6 (0110) 7 (0101) 7 (0100) 8 (0011) 9 (0010) 10 (0001) 11(0000), w ten sposób zakodowałes...

    Początkujący Elektronicy   25 Lis 2008 22:17 Odpowiedzi: 2    Wyświetleń: 6528
  • Licznik modulo 32 zliczający od 10, Jak zakończyć po imp. 20

    Po primo to ten przerzutnik na dole nie robi nic. Po duo dlaczego wejścia j i k są do niczego nie podłączone? Na jakiej podstawie licznik ten liczy od 10? Póki co to nie jest licznik. Popraw schemat. Żeby licznik liczył do/od jakiejś liczby należy odpowiednimi bramkami przy odpowiedniej kombinacji sygnałów wyjściowych licznika, wyzerować lub wystrobować...

    Początkujący Naprawy   12 Kwi 2010 18:16 Odpowiedzi: 4    Wyświetleń: 5581
  • Liczniki w sekwencji przy użyciu przerzutników D czy innych?

    A. Licznik modulo 3 -> bramka OR -> sekwencja { 0 1 1 } A może na 2 przerzutnikach D bez dodatkowej bramki? na pierwszą podać negację drugiej, drugą resetować, gdy na pierwszej jest 0, wpisywać zegarem 1, gdy na pierwszej jest 1, wyjdzie: { 0,0 1,0 1,1 } i z pierwszej mamy { 0 1 1 }. B. Licznik modulo 15 (z licznika 4-bitowego i bramek?). Chyba 2 bramki...

    Projektowanie Układów   27 Mar 2013 20:52 Odpowiedzi: 1    Wyświetleń: 1539
  • Licznik JK modulo 5 zliczający w tył.

    Witam, mam takie pytanie, bo niedługo piszę egzamin, a nie moge nigdzie znalezc na to odpowiedzi. O ile wiem jak rysowac schematy licznikow JK i D modulo N zliczających w przód, o tyle nie wiem jak poradzic sobie z licznikami zliczającymi w tył. Da sie to w ogole zrobic na przerzutnikach asynchronicznych w schemacie szeregowym? Przykładowo mam zbudowac...

    Początkujący Elektronicy   12 Wrz 2015 13:55 Odpowiedzi: 2    Wyświetleń: 3855
  • licznik modulo 8 synchrniczny

    Witam, Witam, Pisze do Was z prośbą o sprawdzenie poprawności licznika modulo 8 synchroniczny dodatkowo mam prośbę potrzebuje do niego zrobić mapę karnafa i zaprogramować go w EWB, i czy mógłbym mi ktoś w tym pomóc? toto na przerzutnikach typu D (SN7474, czy jego pochodnych, jak tu 74HC74) - wyzwalanych przednim, narastającym zboczem - będzie działać...

    Nauka Elektroniki, Teoria i Laborki   16 Paź 2015 10:52 Odpowiedzi: 2    Wyświetleń: 5040
  • Liczniki szeregowe zliczające w tył

    Witam, Mam problem ze zrozumieniem działania liczników szeregowych modulo, zliczających w tył. Jak należałoby narysować schemat i przebieg dla licznika np. modulo 5, zbudowanego z przerzutnika D, jak na obrazku? Zaczynamy od stanu nr 7(111) i kiedy nadejdzie stan 3(011) zerujemy licznik odpowiednimi bramkami, czy (co wydaje mi się błędne) zaczynamy...

    Nauka Szkolnictwo   01 Wrz 2016 00:02 Odpowiedzi: 0    Wyświetleń: 1524
  • Projekt licznika synchronicznego modulo 5 na przerzutnikach D - jak zaprojektować?

    Hej, Muszę zaprojektować licznik synchroniczny modulo 5 na przerzutnikach D. Licznik powinien liczyć w obie strony zależnie od sygnału X (X=1 liczenie do przodu, X=0 liczenie do tyłu). Niestety mam z tym problem. Zacząłem troche działać jednak ze słabym skutkiem.Ktoś może naprowadzić. Załączam zdjęcie co do tej pory udało mi się wykonać

    Nauka Elektroniki, Teoria i Laborki   01 Cze 2020 18:39 Odpowiedzi: 1    Wyświetleń: 771
  • Tworzenie synchronicznego 5-bitowego licznika modulo 13 - błędy w tabeli stanów i przerzutnikach JK

    Próbuję utworzyć 5 bitowy licznik modulo 13. Ma być on synchroniczny. Od wielu godzin się zastanawiam, gdzie mam błąd. Wykonałem tabelę stanów i stanów następnych. Z pomocą tabeli przejść powstała kolumna ze stanami na wejścia przerzutnika JK (zwarte wejścia powodują, że działa jak T, dlatego tak jest liczone na kartce). Proszę o pomoc w znalezieniu...

    Nauka Elektroniki, Teoria i Laborki   22 Sty 2021 15:27 Odpowiedzi: 0    Wyświetleń: 507
  • Potrzebny schemat płytki drukowanej licznika modulo

    Do 15 licznik łatwiej zrobić na 7493. Do licznika należy dodać jedną bramkę AND 4-wejściową i łącząc odpowiednie wyjścia przerzutników A, B, C, D z wejściami tej bramki uzyskasz licznik modulo tyle ile jest zapisane binarnie na wejściach bramki AND. Połączenia możesz zrobić przełącznikiem 4-pozycyjnym. Pozdrawiam wszystkich jjanek

    Elementy Elektroniczne - zamienniki i identyfikacja   31 Sty 2004 20:57 Odpowiedzi: 1    Wyświetleń: 2007
  • Licznik rewersyjny - Jak to działa?

    ... A ja działa zwykły licznik modulo n to już poznałem ... A nie bardzo rozumiem jak rewersyjny liczy: Kiedy w góre? Kiedy w dół? :cry: Jeśli poznałeś licznik asynchroniczny liczący w górę, zbudowany z przerzutników T (np. JK przy J=K=1) i o takim właśnie mówisz, to: a) wyjściami licznika są zawsze wyjścia Q przerzutników, b) licznik liczy w górę,...

    Początkujący Elektronicy   19 Lut 2004 21:30 Odpowiedzi: 7    Wyświetleń: 9117
  • asynchroniczny licznik modulo 5

    To proste ! Łączysz 3 przerzutniki JK metodą wyjście poprzedniego na wejście zegarowe następnego. Dodatkowo J=K=1, /S=1 (wejście ustawiające przerzutnika). Tak zbudowany licznik zmienia stan przy każdym zboczu opadającym zegara CL. Licznik do 5 liczy: 0, 1, 2, 3, 4, 5->0, 1, 2 czyli trzeba dekodować stan 5 bramką AND (lub NAND zależnie czy przerzutniki...

    Początkujący Elektronicy   05 Sty 2005 01:00 Odpowiedzi: 2    Wyświetleń: 14980
  • Jak zbudować licznik modulo 7? Proszę o instrukcje.

    Można łatwo zbudować modulo 7 na układzie UCY7492N lub UCY7493N. Poszukaj książki "Układy scalone serii UCA64/UCY74 parametry i zastosowanie" Włodzimierza Sasala. Jak chcesz zbudować taki licznik na pojedynczych przerzutnikach obojętnie jakiego typu to musisz przeprowadzić cały tok projektowania. Służę pomocą w całym zakresie projektowania i opisu....

    Początkujący Elektronicy   16 Sie 2005 09:13 Odpowiedzi: 18    Wyświetleń: 8653
  • Licznik mod 4 asynchroniczny na JK i D

    PANOWIE !!! Licznik modulo 4 liczy: 0, 1, 2, 3, 0, 1, 2 , 3 itd. I wymaga tylko dwóch przerzutników !!! W obu przypadkach to są po dwa przerzutniki połączone szeregowo: Clock -> CK0 Q0 -> CK1 W przypadku przerzutników D - robimy sprzężenia: /Q0 -> D0 /Q1 -> D1 W przypadku JK podajemy jedynki na wejścia J i K W przypadku użycia układów TTL (LS, HC,HCT)...

    Początkujący Elektronicy   13 Cze 2005 23:22 Odpowiedzi: 7    Wyświetleń: 9563
  • Licznik asynchro modulo 7 - zaprojektowanie

    Witam Próbuję stworzyć licznik asynchroniczny liczący do przodu mod7 z pełnego mod8. Stworzyłem coś takiego jak poniżej mianowicie, gdy pojawi się na wyjściu "6" wtedy bramka zeruje obydwa przerzutniki. Powiedzcie Mi, czy dobrze to zaprojektowałem, czy jest gdzieś jakiś błąd ?

    Początkujący Elektronicy   21 Maj 2006 15:21 Odpowiedzi: 3    Wyświetleń: 2726
  • zbudowac licznik modulo 14...

    najpierw trzeba zrobic z ktoregos z tych przerzutnikow dwojke liczaca, chyba tak to sie nazywa, nastepnie polaczyc odpowiednia ich ilosc w zaleznosci ile ma miec stanow, nastepnie ograniczyc bramka logiczna przez reset do zadanej wartosci, narazie tyle, jak cos to bardziej szczegolowo moge to opisac wieczorem, bo teraz niebardzo z czasem jak potrzebujesz...

    Początkujący Elektronicy   04 Cze 2006 14:59 Odpowiedzi: 1    Wyświetleń: 3003
  • licznik modulo 5, synchroniczny, cykliczny !!!

    Witam, czy ktoś wie może jak zaprojektować cykliczny synchroniczny licznik modulo 5 o następujących stanach: 010 , 011 , 100 , 101 , 110 (czyli 2 ,3 ,4 ,5 i dalej w kolko). Trzeba to zrobić na przerzutnikach JK i osobno na D. (przerzutnki te maja wejscia : nie S i nie R , przerzytniki JK maja wejscie T z opadajacym zboczem a D z narastajacym. Czy dałoby...

    Początkujący Elektronicy   19 Paź 2006 18:26 Odpowiedzi: 5    Wyświetleń: 4711
  • SYNCHRONICZNY Licznik modulo 7

    Witam Na początku należy dokonać konwersji przerzutników JK na przerzutniki T. Sprowadza się to do połączenia ze sobą wejść J i K danego przerzutnika. Te dwa połączone wejścia teraz stanowią wejście T. Mając już tak skonstruowane przerzutniki budujesz licznik tak jak na "normalnych" przerzutnikach T. Potrzebne są 3 przerzutniki by uzyskać licznik modulo...

    Początkujący Elektronicy   24 Paź 2006 19:56 Odpowiedzi: 8    Wyświetleń: 9954
  • Licznik synchroniczny modulo 7 na JK bardzo prosze o pomoc..

    Witam! Mam do was wielka prosbe... dopiero co przyjalem sie na pierwszy semestr do szkoly elektronicznej, dostalem cztery zadania do oddania jako prace kontrolna, jak narazie kiepsko u mnie z Ukladami Cyfrowymi i bardzo prosze was o pomoc... jestescie moja wielka nadzieja:) 1. Licznik synchroniczny modulo 7 na Jk (szukalem na sieci i znalazlem cos takiego:...

    Początkujący Elektronicy   01 Gru 2006 23:53 Odpowiedzi: 4    Wyświetleń: 6540
  • Licznik modulo 10, może ktoś rozrysować schemat licznika?

    Ten układ który zbudowałeś jest modulo 8 bo jak jest "1001" czyli 9 to przerzutniki JK są resetowane. Aby ten problem rozwiązać musisz przenieś jedno wejście AND-a z przerzutnika pierwszego na drugi wtedy dopiero licznik będzie modulo 10.

    Projektowanie Układów   17 Mar 2007 16:13 Odpowiedzi: 15    Wyświetleń: 10374
  • Licznik modulo 10

    Tak jak mój przedmówca powiedział-bramka jest niezbędna. Wykorzystujesz wszystkie wyjścia a dodatkowo do 2-óch podpinasz bramkę (np. 7400) która resetuje przerzutniki. Tylko tak da się zrobić licznik mod 10. Na zajęciach omawialiście pewnie licznik mod 16 który nie potrzebuje żadnych dodatkowych bramek.

    Projektowanie Układów   18 Kwi 2007 20:20 Odpowiedzi: 6    Wyświetleń: 7380
  • Licznik asynchroniczny modulo 24

    Witam, Witam Licznik ten ma byc na przerzutnikach Jk ale to nie wyklucza tego, co napisałem w moim poprzednim poście. Realizacja na danego typu przerzutnikach to jedna sprawa, a użyty kod licznika to druga sprawa. Pozdrawiam

    Początkujący Elektronicy   16 Maj 2007 10:11 Odpowiedzi: 8    Wyświetleń: 4808
  • Modulo 3 na przerzutniku D (7474)

    Musze zbudować licznik mod3 wykorzystując rezystory, kondensatory, przerzutniki typu D ( układ scalony UCY74LS74) a także bramki NAND (układ scalony UCY7400). Z tych elementów ma powstać także generator sygnału prostokątnego, który będzie pełnił funkcję zegara. Jednak wykonanie tego projektu według mojego pomysłu nie działa. Proszę o pomoc w ustaleniu,...

    Początkujący Elektronicy   02 Cze 2007 20:37 Odpowiedzi: 2    Wyświetleń: 3793
  • Licznik

    :arrow: maciejsony - można użyć CD40110, to powinno znacznie uprościć układ, tylko trzeba jakoś zrobić rozróżnianie '0' i '10' - można uzyć przerzutnika RS, wtedy będzie liczyć (o ile nie zresetujesz) 0,1,2...9,10,11,...,18,19,10,11,...; użycie przerzutnika D pozwoli uzyskać liczenie modulo 20. Przerzutnik D to połówka 7474, albo CD4013 - można by całość...

    Projektowanie Układów   20 Lip 2007 15:51 Odpowiedzi: 21    Wyświetleń: 4886
  • Licznik modulo dla dowolnego n na przerzutnikach JK.

    Szukam jakichś linków lub schematów jak wykonać licznik modulo dla dowolnego n na przerzutnikach JK. Oczywiście wiadomo, że n jest ograniczone. Jakby co to nie szukam konkretnych układów scalonych etc. chodzi tu bardziej o rozwiązanie teoretyczne. Patrzyłem na notę cd4017 ale tam jest zrobione na flip-flopach D. Z góry dzięki za pomoc.

    Początkujący Elektronicy   19 Kwi 2009 11:25 Odpowiedzi: 5    Wyświetleń: 10735
  • Licznik modulo 9 - dlaczego tak jest?

    ginar napisał: muszę zaprojektować licznik synch. zliczający w przód z przeniesieniem równoległym oraz w tył z przeniesieniem szeregowym, mógłby mi ktoś przybliżyć ogólną koncepcję tych przeniesień ? Ogólnie chodzi o to, że określenie "przeniesienia równoległe" lub "przeniesienia szeregowe" odnosi się do sposobu realizacji funkcji wzbudzeń przerzutników...

    Początkujący Elektronicy   10 Gru 2007 01:24 Odpowiedzi: 10    Wyświetleń: 12903
  • Licznik asynchroniczny

    ale mam takie zadanie : Zaprojektować asynchroniczny licznik mod 11 zliczający do przodu w NKB. Zrealizowac układ na przerzutnikach JK ze zboczem rosnącym i bramki NAND. Zastosowac metode skracania cyklu zliczania. jesli twoj licznik ma reagowac/zliczac na zboczu rosnacym, musisz usunac negacje na linii clk pierwszego przerzutnika; nie wiem, co to...

    Początkujący Elektronicy   11 Gru 2007 16:49 Odpowiedzi: 7    Wyświetleń: 9631
  • Licznik modulo 5. Przebiegi są dobre? Zastosowanie JK?

    To jak wyglądałyby przebiegi czasowe synchronicznego zliczającego w dół, powiedzmy oparte na przerzutniku D? Mógłby ktoś zrobić podobne jak Kowal zeskanował? Nie do końca rozumiem pojęcie "zliczający w dół" - czy to oznacza, że metoda jest ta sama tylko zaczyna się taktowanie od np. 5 (dla modulo 5) a zeruje na zerze? zliczający w dół to znaczy że...

    Początkujący Elektronicy   12 Lut 2008 15:42 Odpowiedzi: 12    Wyświetleń: 13421
  • Liczniki modulo oraz dzielniki przez...

    Witam, potrzebuję pomocy. Chodzi mianowicie o rysunki paru liczników modulo oraz dzielników przez. Odnośnie liczników, to chodzi mi o coś takiego: Tylko tam mam 2, 3 oraz 4, potrzebowałbym do 10, jeśli to możliwe. Przydałby się schematy + przebiegi. Odnośnie dzielników przez potrzebuję tylko przez 8 i 10. 3, 4, 5, 6 i 7 już mam. Tutaj też przydałby...

    Początkujący Elektronicy   20 Maj 2008 10:07 Odpowiedzi: 4    Wyświetleń: 3509
  • Licznik modulo 27 Synchroniczny

    Funkcję dla wejścia J przerzutnika A napisałeś jako suma, a narysowałeś zrealizowaną na iloczynach. Nie analizowałem całości minimalizacji więc nie jestem pewien czy sama funkcja jest poprawna, ale musisz zrobic tak, aby schematy pobudzeń wejść przerzutników odpowiadały zminimalizowanym funkcjom. Pozdrawiam wszystkich jjanek

    Nauka Elektroniki, Teoria i Laborki   02 Lut 2009 19:37 Odpowiedzi: 4    Wyświetleń: 3557
  • zapytanie o licznik asynchroniczny modulo 10

    Aż nie mogłem nie zwrócić uwagi: Wejście R0(1) resetuje pierwszy przerzutnik JK a R0(2) resetuje pozostałe. Gdzie ty na tym schemacie widzisz, że R01 je podłączone do 1-szego przerzutnika JK, a R02 do drugiego? R0(1) i R0(2) są wyprowadzeniami bramki NAND, podanie na tych wejściach '1' powoduje reset całego licznika. Pozdrawiam

    Początkujący Elektronicy   21 Maj 2009 17:59 Odpowiedzi: 11    Wyświetleń: 7632
  • Licznik rewersyjny modulo

    W jaki sposób uzyskać zerowanie rewersyjnego licznika modulo? Skonstruowałem taki w oparciu o przerzutniki T, gdzie na wejścia zegarowe jest podawany EXOR(wyjście poprzedniego, kierunek zliczania). Problem pojawia się przy zerowaniu (które odbywa się przy wykryciu stanu niedozwolonego), mianowicie dla stanu niedozwolonego 0101b (10) po zerowaniu następuje...

    Początkujący Elektronicy   11 Gru 2009 14:37 Odpowiedzi: 1    Wyświetleń: 2422
  • licznik synchroniczny modulo 8

    A co myślisz o tym, że w nocie katalogowej scalaczka 74hc74 przerzutnik D jest napisane, że prąd na wyjściu Q jest równy 4mA, więc diody LED nie zaświecą się. Muszą mieć około 8-10mA, aby zaświeciły. Czy można by zrobić dodatkowe zasilanie diody (np. z baterii 4,5V), dać opornik taki, aby prąd zasilania był około 6mA, wtedy pojedynczy sygnał z przerzutnika...

    Nauka Elektroniki, Teoria i Laborki   22 Lip 2010 12:18 Odpowiedzi: 9    Wyświetleń: 14559
  • Licznik modulo 73 na układzie 7493

    Proponuję dać układ wydłużający impuls zerujący np. przerzutnik RS za bramką dekodującą , tak żeby impuls z bramki rozpoczynał zerowanie , a najbliższy stan wysoki WE kasował RS i tym samym kończył zerowanie. Np. w taki sposób: I zrób coś z wiszącym w powietrzu CLKA w 2-gim liczniku np. do masy. Czy to się dzieje w rzeczywistości czy tylko na symulatorze...

    Początkujący Naprawy   08 Lis 2011 09:44 Odpowiedzi: 2    Wyświetleń: 2913
  • Technika Cyfrowa (liczniki synchroniczny i asynchroniczny)

    Witam, przepraszam jeżeli wstawiłem temat w złej sekcji. mam bardzo wielka prośbę nie mogę zrobić 2 zadania z przedmiotu w temacie, proszę o pomoc a mianowicie mam do zrobienia: 1. zaprojektować licznik asynchroniczny mod 8 do tyłu na przerzutniku D. 2. zaprojektować licznik synchroniczny binarny modulo 7 do przodu. przerzutniki dowolne nie wiem jak...

    Nauka Elektroniki, Teoria i Laborki   17 Sty 2013 23:44 Odpowiedzi: 1    Wyświetleń: 2706
  • Licznik asynchroniczny modulo 36 liczący w kodzie BCD na przerzutnikach JK

    Witam, zrobiłem licznik asynchroniczny mod 36 na JK i do końca nie działa jak powinien. Otóż na początku liczy od 0 do 35 i się resetuje, ale tu zaczyna liczyć od 6 do 35 znowu się resetuje i po raz kolejny liczy od 6 do 35 i tak już w kółko. Poniżej schemat, czy mógłby ktoś poprawić, albo chociaż wytłumaczyć mój błąd?

    Początkujący Naprawy   16 Cze 2013 15:33 Odpowiedzi: 0    Wyświetleń: 2838
  • Licznik asynchroniczny modulo 7 metodą podziału liczby

    Witam. Założyłem temat, ponieważ nigdzie w internecie nie mogłem znaleźć odpowiedzi na to pytanie. Czy jest możliwe złożenie licznika asynchronicznego na przerzutnikach JK mod 7 opartego na metodzie podziału liczby tak aby liczył w górę albo w dół w kodzie dwójkowym? Bez używania resetu oraz bez dodatkowych bramek logicznych. Zależy mi na zdaniu ludzi...

    Początkujący Naprawy   05 Wrz 2013 11:38 Odpowiedzi: 0    Wyświetleń: 1491
  • Licznik rewersyjny modulo 7

    Witam! Muszę stworzyć schemat licznika wstecznego synchronicznego (od 6 do 0) wykorzystującego przerzutniki typu D. Stworzyłem licznik modulo 8, ale nie wiem jak pozbyć się tej 7, czy mógłby mi ktoś wytłumaczyć jakie bramki musze dodać żeby to działało? Załączam schemat tego co zrobiłem. Z góry dziękuję za pomoc :)

    Początkujący Elektronicy   11 Sty 2014 14:49 Odpowiedzi: 0    Wyświetleń: 1752
  • Licznik modulo 73 na układach 7490 i 7493

    O teraz już pójdzie gładko... Aby nie pisać wielu postów, to ja będę dodawał do tego postu kolejne punkty, a Ty będziesz zmieniała schemat. Dobrze? 1. Licznik 7490 musimy wyposażyć w dwa wejścia zerujące, spełniające funkcję OR. Do jednego wejścia OR dołączamy wyjście QD tego licznika, co spowoduje, że licznik 7490 będzie się zerował po osiągnięciu...

    Początkujący Elektronicy   20 Paź 2017 08:34 Odpowiedzi: 14    Wyświetleń: 2223
  • Zaprojektowanie licznika modulo 4 oraz modulo 8.

    Proszę o pomoc w rozwiązaniu zadania . Dostałem zadanie do zaprojektowania liczniaki modulo 4 oraz 8 na przerzutnikach d z wejściami Set i Reset. Nigdy tego nie robiłem i nie wiem od czego zacząć. Jestem kompletnie zielony. Proszę o jakieś porady, wskazówki, schematy. Proszę o opinię tego co już zrobiłem.

    Nauka Elektroniki, Teoria i Laborki   22 Maj 2016 07:12 Odpowiedzi: 2    Wyświetleń: 1737
  • Licznik modulo 4 zliczający od 1

    Jak mod 4 to OK - po modyfikacji układ powinien prawie zadziałać[1]. I dobrze rozwiązałeś liczenie od 1 - 1 to 001 - pierwszy przerzutnik ustawiony, pozostałe kasowane przy resecie. Dorysuj tylko stan nieaktywny na nieużywanych wejściach S i R przerzutników - one muszą mieć jakąś polaryzację. [1] Jaki stan ustawia i kasuje liczniki? Jaki stan jest na...

    Nauka Elektroniki, Teoria i Laborki   31 Maj 2016 10:04 Odpowiedzi: 4    Wyświetleń: 1836
  • Licznik modulo 53 - układ scalony 7493

    Próbowałem resetowanie bez tego przerzutnika D, lecz wtedy zamiast resetować do 0, resetuje mi do 22, nie wiem czemu Dla mnie też jest to dziwne zwłaszcza że 22 ( 010110b ) sugeruje że nie wszystkie przerzutniki liczników zostały wyzerowane. Może problemy robi sam symulator. (at)2N3866 Jakieś sugestie? Nie mam lepszego pomysłu niż problem symulatora....

    Nauka Elektroniki, Teoria i Laborki   03 Lis 2016 18:17 Odpowiedzi: 10    Wyświetleń: 4275
  • Licznik asynchroniczny - zadanie z przerzutnikami

    Hej! Pomógłby mi ktoś co i jak z zadankiem? Mam przygotować licznik asynchroniczny liczący w kodzie modulo 9 na przerzutnikach typu D. Dużo szukałem w internecie informacji na ten temat, no ale niestety nie znalazłem. Próbuje to samemu rozwiązać tak jak to było na uczelni ale kiepsko mi to wychodzi. Jak się za to zabrać bo nie za bardzo wiem o co chodzi?...

    Nauka Elektroniki, Teoria i Laborki   25 Lis 2016 23:40 Odpowiedzi: 2    Wyświetleń: 1095
  • Licznik szeregowy modulo 13

    Cześć, próbuje zrobić licznik szeregowy modulo 13, liczący w górę z wykorzystaniem przerzutników D. Jednak po odpaleniu symulacji nie następuje nigdzie reset z 12 do 0 - po osiągnięciu 12 już nigdy nie zmienia się wartość. Tutaj są moje tablice. Kolumny są ponumerowane w ten sposób: DCBA, gdzie 7 = 0111 Mała poprawka, w kolumnie A jest: A'D' + A'C'D....

    Nauka Elektroniki, Teoria i Laborki   07 Gru 2016 22:11 Odpowiedzi: 0    Wyświetleń: 1800
  • Synchroniczny licznik mod 6, przerzutniki D - dodatkowe wejście RESET

    Witam, mam problem z zadaniem o następującej treści: "Zaprojektować licznik od 0 do 5 (modulo 6), z dodatkowym wejściem sterującym x, "przeskakujący" cyfrę 1 jeżeli x=1." I reszta warunków zadania: "Należy skonstruować sekwencyjny (Moore'a), w pełni synchroniczny układ logiczny, zawierający przerzutniki typu D. Należy na wyjściu użyć wyświetlacza segmentowego...

    Nauka Elektroniki, Teoria i Laborki   10 Sty 2018 21:01 Odpowiedzi: 2    Wyświetleń: 3309
  • Licznik modulo 3 liczący od 6.

    Witam. Czy mógłby mi ktoś wytłumaczyć jak rysuje się schematy tych liczników. Np mam takie zadanie: Zaprojektuj licznik szeregowy MOD 3 liczący od 3 za pomocą przerzutnika typu T z wykorzystaniem wejść RS. wiem że najpierw rysuję sobię tabelkę S i S+ oraz Q i Q+. To potrafię. Ale nie wiem jak się rysuje schemat takiego układu.

    Początkujący Elektronicy   28 Lut 2018 18:00 Odpowiedzi: 1    Wyświetleń: 696
  • Licznik modulo 5 w Multisim nie działa - problem z wejściami A i B

    Jest tutaj licznik modulo 5,ma 2 wejścią A i B. Kiedy A=1 to licznik wyświetla o jedną liczbę więcej, kiedy B=1 to licznik zmniejsza liczbę. Niestety symulacja nie działa i nie wiem dlaczego. Może trzeba jakiś dekoder zastosować. Ogólnie to jestem zielony w technice cyfrowej. Jeżeli chodzi o minimalizację to jest w porządku. Błąd jest gdzieś w łaczeniu....

    Projektowanie Układów   19 Cze 2019 11:52 Odpowiedzi: 11    Wyświetleń: 525
  • Jak połączyć liczniki 7490 i 7493 w Proteusie do liczenia modulo 99?

    A jaka jest różnica między licznikiem do 99 i licznikiem modulo 99? Jeden i drugi przekręci się na 0 po osiągnięciu stanu 99, prawda? Złośliwość zadania polega na konieczności wykorzystania 7493 i przerzutnika. Multiplekser można dodać, podając na wejście sterujące: - sygnał z licznika i wykorzystać go jako zwykłą bramkę, - wartość stałą, a wyjście...

    Nauka Elektroniki, Teoria i Laborki   10 Maj 2020 23:20 Odpowiedzi: 7    Wyświetleń: 579
  • Licznik asynchroniczny modulo 9 oparty na przerzutnikach D

    Witam, Otrzymałem w ramach laboratoriów z techniki cyfrowej zadanie zaprojektowania asynchronicznego licznika modulo 9 opartego na przerzutnikach D. Podczas próby rozwiązania zadania pojawił się następujący problem: po liczbie 6 zamiast liczby 7 w symulacji pojawiają się liczby 14 i 15 (błędnie) i następnie liczby 8 i 0 (poprawnie) jak na poniższym...

    Nauka Elektroniki, Teoria i Laborki   19 Lis 2020 09:00 Odpowiedzi: 5    Wyświetleń: 1818
  • Szukam schematu licznika asynchronicznego modulo 25 rewersyjnego w Digital Works

    Poszukuje schematu licznika asynchronicznego modulo 25 rewersyjnego. Mam zwykły asynchroniczny modulo 25 lecz po przerobieniu nie odlicza w dół od górnej wartości. Tworze to na przerzutnikach JK w digital works

    Początkujący Elektronicy   24 Cze 2021 09:25 Odpowiedzi: 0    Wyświetleń: 207
  • Licznik asynchroniczny modulo 4 na bazie przerzutników JK

    Hej, Generalnie uczę się do egzaminu z elektroniki i mam problem z jednym zadaniem. Muszę zrobić licznik asynchroniczny modulo 4 na bazie przerzutników JK rewersyjny - zliczający w przód lub w tył w zależności od wejścia s. Sam licznik zliczający w przód lub w tył potrafię zrobić, ale nie mam pomysłu jak zrobić, żeby zliczał w przód lub w tył w zależności...

    Początkujący Elektronicy   09 Wrz 2021 16:46 Odpowiedzi: 2    Wyświetleń: 348
  • Licznik modulo 204 metodą skracania cyklu liczników + zatrzask RS

    problem zaczyna się dopiero przy zastosowaniu owego zatrzasku RS wydłużającego czas zerowania. Pierwszy raz spotykam się z koniecznością zastosowania takiego mechanizmu i nie mam pojęcia, jak go zaimplementować, dlatego proszę o pomoc w jego implementacji. Potrzeba tego wydłużania zerowania dotyczy zjawisk występujących w realnych układach tego typu,...

    Nauka Elektroniki, Teoria i Laborki   11 Sty 2022 18:22 Odpowiedzi: 4    Wyświetleń: 624
  • Licznik modulo 10 zliczający wstecz na przerzutnikach JK

    Proszę o pomoc, najlepiej jakby ten licznik został wykonany w programie Digital Works. Jestem totalnie nowy w tym, a nie wiem, jak się do tego zabrać. Modulo 8 potrafię zrobić, ale modulo 10 wcale, bardzo proszę o pomoc. W załączniku dodaję 2 nieudane próby.

    Nauka Elektroniki, Teoria i Laborki   10 Lut 2022 18:18 Odpowiedzi: 6    Wyświetleń: 2352
  • Licznik asynchroniczny modulo 4 zliczający w dół, przerzutniki D

    Czołem, mam problem z zadaniem na studia. Miałem stworzyć licznik taki jak w temacie, co zrobiłem, ale prowadzący zajęć uparł się, że do resetów musi być podłączona jakaś bramka, pomimo, że licznik działa poprawnie i zlicza od 3 do 0. Próbowałem naprawdę wielu wariantów, przekopałem internet i naprawdę nie wiem co mam robić. Jeśli ktoś mógłby mi pomóc,...

    Początkujący Elektronicy   14 Lut 2022 14:25 Odpowiedzi: 2    Wyświetleń: 816
  • Tworzenie układu liczącego z użyciem 74190/74192, 7493 i przerzutnika SR

    (...) jak zrobić licznik modulo 4 z 7493, ale nie mam pojęcia, jak załadować te dane do 7419x, ani jak w tym wszystkim ma być przerzutnik SR. Z noty katalogowej niewiele mi się udało wyciągnąć, chyba że trzeba wyznaczyć funkcje logiczne każdego wejścia i wyjścia, bardzo proszę o pomoc Dodano po 33 Witam serdecznie, Rozważmy konstrukcję układu krok...

    Nauka Elektroniki, Teoria i Laborki   13 Lis 2023 12:00 Odpowiedzi: 7    Wyświetleń: 171
  • Zaprojektować licznik MODULO 17 lub inny na JK-MS Na EGZAM !

    Ogólnie o licznikach: 1. Ilość przerzutników potrzebnych do realizacji licznika modulo N M=log_2{N}=\frac{log_{10}{(N)}}{log_{10}... Otrzymaną liczbę zaokrąglamy W GÓRĘ do najbliższej całkowitej. log_2(17)\approx4.087 po zaokrągleniu = 5 czyli potrzeba 5 przerzutników. 2. Licznik modulo N liczy od 0 do N-1. Czyli do wyzerowania go potrzebujesz: wykrywanie...

    Początkujący Elektronicy   05 Wrz 2005 23:53 Odpowiedzi: 17    Wyświetleń: 6815
  • Licznik modulo 5. Proszę o rady lub schematy.

    Witam serdecznie, sposób realizacji licznika modulo 5 na przerzutniku JK został opisny na forum: Jeżeli, będą jakieś pytania to przeslę rozrysowany schemat wraz z opisem działania. Pozdrawiam Szczepan

    Początkujący Elektronicy   09 Sty 2006 22:42 Odpowiedzi: 3    Wyświetleń: 3544
  • Przerzutnik RS. Który układ zawiera takie przerzutniki jak na rysunku?

    Muszę poprostu przeprowadzić symulację w Electronics Workbench licznika Johnsona modulo 5 na 7495, lecz tego układu nie ma w bibliotece. Muszę więc zbudować go od podstaw. :)

    Początkujący Elektronicy   16 Kwi 2006 22:41 Odpowiedzi: 4    Wyświetleń: 2797
  • dzielnik częstotliwosci oraz licznik modulo 10

    Witam! Rok szkoolny sie konczy wiec przydało by sie ocenke podniesc no i sobie chce podniesc i dostałem na zadanie napisanie o licznikach modulo 10 oraz o dzielnikach czestotliwosci.Wszystko mam pieknie,ładnie opisac i opracowac na podstawie układów serii UCY. Juz troche mam materiału lecz jeszcze nie wiem jakie układy konkretnie zastosowac z tej seriii...

    Początkujący Elektronicy   16 Cze 2006 17:12 Odpowiedzi: 9    Wyświetleń: 7084
  • Licznik modulo 3 na ucy 7475. Jak go zbudować?

    Nie zwróciłem uwagi na to że przerzutniki te nie są wyzwalane skokiem. To forum służy do zdobywania wiedzy i dzielenia się nią z innymi potrzebującymi więc postaram się jeszcze wyjaśnić dlaczego nie nadaje się ten przerzutnik do budowy licznika skoro Quarz potrafił się tylko wymądrzyć. Napisałem wcześniej, że nie nadaje się do konstrukcji licznika...

    Początkujący Elektronicy   29 Paź 2006 12:02 Odpowiedzi: 12    Wyświetleń: 6468
  • Licznik modulo 13 zliczający w naturalnym kodzie binarnym...

    Dzieki czarutek za uznanie :-). Kolega chcial miec licznik synchroniczny na przerzutnikach D. Gdyby to mial byc licznik asynchroniczny, to jak piosalem w pierwszym poscie byloby to banalnie proste do napisania "z glowy". Stare to dzieje, gdy musialem to umiec "na zaliczenie". Rozpisuje sie tu czasami jako " tamam ", a nie tamtam z ktorym to instrumentem...

    Początkujący Elektronicy   07 Sty 2007 19:24 Odpowiedzi: 19    Wyświetleń: 12116
  • Przerzutnik ucy7476, dzielnik częstotliwości przez 6

    wpisz w wyszukiwarkę licznik modulo i znajdziesz dziesiętki wątków na podobny temat. Chyba coś wybierzesz ,na czym będziesz mógł bazować.

    Początkujący Elektronicy   27 Kwi 2007 08:49 Odpowiedzi: 5    Wyświetleń: 3092
  • licznik jk asynchroniczny, rewersyjny

    generalnie licznik asynchroniczny jako rewersyjny jest dosc trudno zrealizowac. ale w przypadku licznika modulo 4 jest to ułatwione. polecam uklad '76 są to przerzutniki JK z zanegowanymi RS - łączysz do jedynki zliczanie w przód: łączysz wyjścia Q poprzedniego z CLK następnego, a wyjścia też patrzysz na Q aby liczyć w tył, możesz: połączyć CLK następnego...

    Początkujący Elektronicy   17 Kwi 2008 19:03 Odpowiedzi: 3    Wyświetleń: 3755
  • Licznik modulo 10 w AHDL z mikrooperacjami.

    Mam nadzieje ze nie zrobilem bledu/.../ nie polegaj na swojej nadziei zeusie , ale zrob symulacje kodu, ktory napisales, w max+2 to calkiem latwe; Twoj kod jest w zasadzie poprawny, ale by byl calkiem 'po bozemu' poprawny nie mozesz zapominac, ze deklaracja q definiuje przerzutniki, ktore maja swoje porty - .d, .clk, .clrn, .prn, ktore to porty powinienes...

    Programowalne układy logiczne   14 Sty 2009 15:55 Odpowiedzi: 18    Wyświetleń: 4088