REKLAMA

matlab teoria

Znaleziono około 122 wyników dla: matlab teoria
  • Matlab - pomiar kąta fazowego

    Na wstępie chciałem się przywitać :) Wykonuję projekt, w którym jednym z celów jest pomiar kąta przesunięcia fazowego (potrzebuje przetestować kilka metod). Matlabem zajmuję się dopiero od niedawna. Znalazłem książkę z 2000 roku: J. Gajda R. Sroka, Pomiary kąta fazowego. Na końcu książki umieszczone są przykładowe programy napisane w MATLABie, które...

    Programowanie Początkujący   12 Wrz 2013 12:44 Odpowiedzi: 0    Wyświetleń: 2460
  • Seminarium pt. Projektowanie regulatora PID w środowisku MATLAB & SimulinkSponsorowany

    Na Politechnice męczyli nas matlabem przez 3/4 studiów, a żadnemu z mojego roku absolwentów jeszcze się nie przydał. Nic przydatnego nie nauczyli, tylko wpajali przestarzałą teorię. Teoria teorią, a życie pokazuje, że Matlab nie znajduje większego zastosowania w pracy typowego inżyniera. Wystarczy znać właściwości regulatora PID i dobrać nastawy jedną...

    Newsy   16 Mar 2012 11:23 Odpowiedzi: 2    Wyświetleń: 3766
  • REKLAMA
  • Teoria dla elektronika!

    Sposób krok po kroku rozwiązywania obwodów: Metoda potencjałów węzłowych Poruszone problemy: - samego idealnego źródła w gałęzi (bez impedancji). - eliminacja sprzężeń cewek Dodatkowo zaprezentowanie rozwiązania tego obwodu za pomocą programu Matlab.

    Początkujący Elektronicy   20 Mar 2024 18:15 Odpowiedzi: 36    Wyświetleń: 241311
  • Jakiego matlaba? Teoria sygnałów i przetwarzanie?

    witam, doradźcie jakiego matlaba mam zalatwić, aby mógl mi posłuzyć do Teorii sygnałów i przetwarzania sygnałów, ukladów automatyki (musi być simulink czy cóś takiego) i innych takich rzeczy; słyszałem, że 6,5 juz ma wystarczające boxy, czy moze zalatwić wyższą wersję a jak tak to jaką, bo mam miec ten program na laborkach i gośc mówil że różne wersje...

    Projektowanie PCB   22 Lut 2007 14:48 Odpowiedzi: 2    Wyświetleń: 906
  • REKLAMA
  • badanie zachowania maszyny elektrycznej w matlabie

    Możesz zajrzeć w "Nieliniowe obwody elektryczne. Teoria i symulacja komputerowa" wyd. WNT, podręczniki akademickie z zakresu maszyn elektrycznych (dostępne w bibliotekach politechnicznych), podręczniki do Matlaba. Po pierwsze musisz znaleźć odpowiednie wzory i ułożyć z nich zależności a potem zabrać się do programowania tego w Matlabie.

    Elektryka Dla Każdego   13 Gru 2008 19:34 Odpowiedzi: 2    Wyświetleń: 955
  • Wykreślenie charakterystyki filtru w matlabie

    Witam. Moja wiedza na temat matlaba jest słaba, jednak potrzebuje wykreślić w nim charakterystykę filtru. To co posiadam to równanie różnicowe ( lub równoznaczne w domenie Z). Aby wykreślić charakterystyki filtru należy za " Z " podstawić "e^jw" ( "e" do potęgi j*omega). I tyle w teorii. Wychodzi skomplikowane równanie które przy dowolnej zmianie trzeba...

    DSP i Transmisja   23 Mar 2009 07:20 Odpowiedzi: 3    Wyświetleń: 2898
  • tłumaczenie maple -> matlab (notacja DH)

    Witam, zająłem się notacją DH ostatnio, jakby to powiedzieć, rekreacyjnie - bez parcia, ani nic. Chciałbym zastosować to do policzenia kątów w manipulatorze o dwóch stopniach swobody, kiedy jego końcówka znajduje się w podanym miejscu (odwrotne zadanie kinematyki). Chodzi dokładnie o to samo, jak na przykładzie 5.15 w tym linku: Ogólnie to rozumiem,...

    Software serwis   25 Sie 2014 11:57 Odpowiedzi: 0    Wyświetleń: 609
  • Spektrogram sygnału. Program do analizy w Matlabie.

    Mam problem. Musze napisać program w Matlabie, analizujący sygnał dżwiękowy w dziedzinie częstotliwości i czasu, czyli tzw. spektrogram. Lecz nie mogę użyć funkcji w Matlabie "specgram", która jest specjalnie do tego. Szukałem troche na necie, ale jest bardzo mało. Z góry dziękuje za pomoc. Ps. Trochę teorii o spektrogramie po polsku, też mi się przyda.

    DSP i Transmisja   20 Gru 2004 14:54 Odpowiedzi: 5    Wyświetleń: 7319
  • REKLAMA
  • Składowe symetryczne. Sprawdzenie poprawności wzorów i skryptu matlab.

    Witam, Witam, proszę o sprawdzenie poprawności skryptu do obliczania napięć składowych symetrycznych. Wyniki pomierzone nie zgadzają mi się z wyliczonymi, są to wartości sięgające 100% Wzory z których liczę: Napięcia U1 i U2 liczę macierzą w matlabie. Skrypt z matlaba: clear clc disp('Podaj wartość napięcia UAB') AB=input('UAB='); disp('Podaj wartość...

    Nauka Elektroniki, Teoria i Laborki   11 Kwi 2015 00:15 Odpowiedzi: 11    Wyświetleń: 2598
  • Skok jednostkowy. Operacje na macierzach w Matlabie.

    Witam, Kolego :arrow: Jam1975 , proszę nie słać do mnie via PW błagalnych postów o rozwiazanie tego zadania. Pozostaną bez odpowiedzi ... :D Zadałem tu, na Forum, zapytanie i pozostało ono, jak dotąd, bez odpowiedzi, a właściwie to pojawiła się odpowiedź której ja zrozumieć nie muszę ... :cry: Nie jest moim obowiązkiem domyślanie się w jakich jednostkach...

    Początkujący Elektronicy   14 Lis 2006 21:21 Odpowiedzi: 13    Wyświetleń: 9757
  • Teoria i modele matematyczne ustalonych i nieustalonych...

    Witam Piszę pracę na temat silników krokowych. W jednym z rozdziałów "Teoria i modele matematyczne ustalonych i nieustalonych stanów pracy" ma pokazać przebiegi stanów ustalonych i nieustalonych. Moje pytanie brzmi: w jaki sposób to zrobić za pomocą matlaba. Powiem tylko tyle że jeśli chodzi o matlaba to jestem początkujący :| Za wszelką pomoc dziękuję....

    Początkujący Elektronicy   06 Cze 2008 13:24 Odpowiedzi: 0    Wyświetleń: 477
  • REKLAMA
  • Filtry cyfrowe - fir. Wykresy w Matlabie.

    Jeśli nie wiesz co to odpowiedz impulsowa, zera i bieguny transmitancji i co to nam mówi, to potrzebny jest porządny podręcznik podstaw automatyki (Greblicki) lub teorii systemów (Kaczorek). Jest w nich opisana transformata Z (dyskretny odpowiednik transformaty Laplace'a). Jeśli wiesz -- filtr cyfrowy można potraktować jako układ (człon) dynamiczny...

    DSP i Transmisja   08 Sty 2010 00:18 Odpowiedzi: 1    Wyświetleń: 2990
  • Projekt Filtru Lynna - problem z teoria.

    Witam, Mam do wykonania w matlabie projekt Filtru Lynna do odfiltrowania zaklocen sieciowych z sygnalu EKG. Bardzo trudno znalezc mi jakies materialy na temat tego filtru. Co wiem to to ze filtr ma: -liniowa ch-ka fazowa -calkowite wspolczynniki sumowania -bieguny eliminuja zera na kole jednostkowym Filtrowane maja byc zaklocenia o czestotliwosci 50Hz...

    Początkujący Elektronicy   04 Wrz 2007 14:39 Odpowiedzi: 0    Wyświetleń: 1503
  • [MATLAB] Sygnał trójkątny na podstawie prostokątnego

    Muszę wygenerować sygnał trójkątny przy pomocy skryptu MATLAB. Podpowiedzią było, że można zrobić to na podstawie napisanego wcześniej skryptu generującego sygnał prostokątny. Niestety, o ile generację sygnału prostokątnego mam wykonaną poprawnie, to "coś jest nie tak" z generacją trójkąta, zbocze rośnie i nic poza tym. Poniżej podaję oba kody. Sygnał...

    DSP i Transmisja   15 Lis 2012 10:48 Odpowiedzi: 3    Wyświetleń: 4122
  • Zapis transmitancji do Matlaba

    jak parametry sa znane, to wczesniej piszesz np T=2; i w wyrazeniu używasz juz T, a jak niesa znane to musisz wejsc w wyrazenia symboliczne ( syms a) połączenie tego zamieszcze Ci w kodzie, tj fragment z jednej z laborek z teorii sterowania, co robi każda funkcja tj opisane w pomocy dosc dokladnie, MATLAB ma według mnie genialnego HELPa i naucz sie...

    Automatyka Przemysłowa   09 Wrz 2009 18:54 Odpowiedzi: 5    Wyświetleń: 10093
  • MATLAB, odpowiedź skokowa i impulsowa. Charakterystyki.

    Witam, Witam Czy mógłby mi ktos pomóc... muszę zrobić dla wzoru: G(s)=(s^2)/(9s^2+0,3s+1) na pewno, to człowiek musi narodzić się, a potem (kiedyś) umrzeć... Ty nawet nie potrafisz tego nazwać po imieniu, iż jest wzór na Transmitancję Operatorową obiektu liniowego, to takie "przedszkole" w Teorii Sterowania . 1.Odpowiedź skokową i impulsową. 2.Charakterystyki...

    Początkujący Elektronicy   26 Maj 2007 16:04 Odpowiedzi: 3    Wyświetleń: 12938
  • Filtr dolnoprzepustowy i matlab

    Hmm dzięki dzięki za tą teorię ale ja mam zaprojektować ten filtr teoretycznie. Napisać skrypt w Matlabie, oparty na funkcji butter i to właśnie ten skrypt ma mi odfiltrowywać odpowiednie częstotliwości.

    Nauka Elektroniki, Teoria i Laborki   01 Gru 2008 08:15 Odpowiedzi: 6    Wyświetleń: 8693
  • [Matlab/Simulink] Styczna do funkcji/Punkt przegięcia

    Witam, G(s) - transmitancja silnika DC U(s) - transmitancja skoku jedn. G(s)=0.09/(0.1009 s^2 + 0.8278 s + 0.1131) U(s)=1/s G(s)*U(s)=Y(s) Y(s)=0.09/(0.1009 s^3 + 0.8278 s^2 + 0.1131 s) i po co to wymnożenie? - dop. Quarz ] kombinujesz jak przysłowiowy łysy koń pod górę ... Przede wszystkim to warto zapis transmitancji G(s) unormować tak, by współczynnik...

    Nauka Elektroniki, Teoria i Laborki   25 Lut 2009 03:40 Odpowiedzi: 14    Wyświetleń: 19010
  • [Matlab] Widmo do zadanego sygnału

    xlabel na rys 2 i 3 to częstotliwość nie zgadza mi się skalowanie osi amplitudę sygnału masz 3 czyli moc powinna być ok. 9 a nie 27 (około bo jest przeciek , czyli brak dopasowania liczby próbek do okresu sinusoidy. Wzorcowe programy w Matlabie i wiadomości o DFT masz tutaj: (kopiuj z najlepszych :-) 1. [url=]Teoria 2. [url=]Praktyka

    Programowanie   27 Lis 2011 19:40 Odpowiedzi: 25    Wyświetleń: 14142
  • Regulator LQR, dobór zmiennych stanu, MatLAB

    Witam, Poczytaj o obserwatorze Lunbergera. W sieci są przykłady i teoria. Pozdrawiam,

    Automatyka Sterowanie PLC   08 Gru 2015 00:15 Odpowiedzi: 3    Wyświetleń: 1749
  • MATLAB - Funkcja fminsearch()

    Mam problem z minimalizacją pewnej funkcji. Na wstępie krótki opis zagadnienia, a potem problem z jakim się borykam. Muszą wyznaczyć 2 parametry pieca - maksymalną moc grzałki (Pmax) oraz iloczyn współczynnika wymiany ciepła i powierzchni wymiany ciepła (k*A). Model matematyczny układu: dQ/dt = Q*we - Qwy + P - kA*(Twy - Tot) W stanie ustalonym po przekształceniach:...

    Programowanie   14 Maj 2015 10:21 Odpowiedzi: 1    Wyświetleń: 2166
  • Przenośnik taśmowy - symulacja w Matlabie simulinku

    Witam Zbieram się do pisania mojej pracy dyplomowej której temat to; SYSTEM STEROWANIA PROCESU GÓRNICZEGO NA PRZYKŁADZIE KOPALNI GŁĘBINOWEJ WĘGLA KAMIENNEGO. Celem mojej pracy będzie zapoznanie z procesem wydobycia urobku przenośnikami taśmowymi i system sterowania oraz wykonanie symulacji komputerowej. Jeśli chodzi o teorie to jakoś dam radę. Ale najgorzej...

    Automatyka Przemysłowa   06 Maj 2010 13:47 Odpowiedzi: 0    Wyświetleń: 1714
  • Odtwarzanie sygnału z użyciem Matlaba - IFFT

    Dokładnie tak, w teorii należy jeszcze dzielić [ Tanalogowy - Tprobek(k) ] przez dt. Jednak w tym wypadku jest to w obu wektorach zawarte. Przebiegi czasowe zawsze zaczynaj od zera, tak jest najbezpieczniej. Gratuluję- lvl up!

    DSP i Transmisja   29 Kwi 2012 13:58 Odpowiedzi: 20    Wyświetleń: 4423
  • Matlab - aproksymacja przebiegu prostokątnego

    To temat z Laboratorium teorii systemów, Musze zrobic sprawozdanie dlatego prosze o pomoc... Prowadzacy wyznaczyl mi sygnał prostokątny - jak ten do badan. Wyznaczylem analitycznie wspołczynniki Fk i Fo. (zespolonego wykładniczego Fouriera) - ich postac przedstawiam w załaczniku(plik .doc) Posiadam aplikacje(ktora uzyskałem od prowadzącego) za pomocą...

    Początkujący Elektronicy   06 Kwi 2005 22:59 Odpowiedzi: 4    Wyświetleń: 9846
  • Zadania z teorii sterowania

    Rysunki z zad 4 i 5 sa schematami z matlaba, wiec jesli moglbym cie prosic to powiedz co dla Ciebie jest w nich niecztelnego, i jak mam je poprawic :D a co do zadania 2 jak znalez transmitację napięciową korzystając ze schematu. a co do reszty zadan (1,3,6 ) to dam juz sobie rade :D pozdro

    Początkujący Elektronicy   13 Cze 2006 08:12 Odpowiedzi: 2    Wyświetleń: 2226
  • Matlab ----->Co oni wogóle do mnie mowia.------> HELP

    W treści zadania podane sa 3 wielomiany Czebyszewa,Hermite'a Legendre'a.Na podstawie tych wielomianów są wzory i wykresy.Najpierw trzeba sie zapoznac z teorią o tych wielomianach..po raz kolejny tłumaczę że tak jak napisałam ''a filtrowane maja byc te wykresy np. jak sie wpisze zakres od 2 do 5 to maja byc pokazane tylko te linie w tym zakresie..."to...

    Początkujący Elektronicy   19 Lis 2006 13:49 Odpowiedzi: 9    Wyświetleń: 1827
  • Matlab, Symulink. Jak symulować opóźnienie skoku jednostkowego?

    Witam, Czy wie ktoś może jak w simulinku zasymulować opoznienie ?? Chodzi mo dokladnie o opoznienei skoku jednostkowego. Wiem ze można w elemencie skoku ustawic czas skoku ale czy da sie to zrobić jakos inaczej?? z gory dzieki zgodnie opisem pseudofunkcji skoku jednostkowego opóźnionego : k(t) = 1 (t - τ) , która opisywana jest nierównościami:...

    Początkujący Elektronicy   14 Sty 2007 13:59 Odpowiedzi: 6    Wyświetleń: 4259
  • /Zadanie/ MatLab a obwód elektryczny (NAGRODA)

    No w sumie wartość omegi nie powinna mieć tutaj nic do znaczenia bo i tak bilans prądów powinien się zgadzać dla każdej częstotliwości (prawo Kirchoffa (prądowe) dla węzła V2 się nie zgadzało). ale, ale, skoro wprowadzasz błąd dla wszystkich obliczeń z niepewnością ok. 0,5% Wydaje mi się że trzeba zastosować metodę eliminacji idealnego źródła (E1)...

    Początkujący Elektronicy   30 Kwi 2007 15:42 Odpowiedzi: 6    Wyświetleń: 5304
  • [Matlab] modulacja i generowanie szumu

    Teorie znam. Ale dla rozważań tego przykładu i programu mnie interesuje stała wartość S/N. Jak wynika z kodu chce wykreślić w2 w funkcji S/N a więc potrzebuje jakby identycznego szumu a zmieniam tylko jego odstęp od sygnału.

    Programowanie   08 Sty 2010 13:24 Odpowiedzi: 2    Wyświetleń: 9153
  • Matlab - Budowa układu regulacji

    W tym układzie musisz mieć opóźnienie. Skokowe załączenie grzałki nie powoduje skokowego dostarczenia energii w całym modelu. Może jest niewielkie, ale jest i powinieneś wiedzieć ile ono wynosi. Na podstawie tych "pseudo-wykładów" dowiesz się tylko na temat teorii i ogólnie znanych podstaw automatyki a regulatora do Twojego obiektu nie dobierzesz. Poczytaj...

    Automatyka Przemysłowa   29 Gru 2010 18:32 Odpowiedzi: 10    Wyświetleń: 8111
  • DSP i MATLAB - od czego zacząć?

    hej Jeśli chcesz pogłębić wiedzę o DSP i mieć możliwość od razu sprawdzenia i przetestowania algorytmów w MATLABIE proponuję zaopatrzyć się w książki : - Cyfrowe przetwarzanie sygnałów - od teorii do zastosowań (Tomasz P. Ziemiński, wydawnictwo WKŁ); - Cyfrowe przetwarzanie sygnałów - podstawy, multimedia, transmisja (również Tomasz P. Ziemiński wydawnictwo...

    DSP i Transmisja   07 Paź 2017 14:42 Odpowiedzi: 6    Wyświetleń: 4320
  • Inercja układu, regulacja temperatury (w teorii)

    Coś tam można zasymulowac w matlabie lub scilabie. Będę szukał dalej, pozdrawiam i dziękuję

    Automatyka Przemysłowa   06 Lis 2017 20:59 Odpowiedzi: 4    Wyświetleń: 1935
  • Rigol DM3058E vs. Siglent SDM3045X: Multimetr stołowy do 2500 zł, podłączenie do komputera i MATLAB

    Ale chyba ta rozdzielczość związana z ilością wyświetlanych cyfr nie jest tak do końca związana z rodzielczością samego przetwornika analogowo-cyfrowego Trzeba rozdzielić dokładność od rozdzielczości. Przetwornikiem 8 bit można zmierzyć i wyświetlić 8 cyfr i mieć "woltomierz 8 cyfr" ale to nie ma sensu: 5 cyfr nie niesie żadnej realnej informacji....

    Warsztat elektronika   02 Paź 2022 12:58 Odpowiedzi: 9    Wyświetleń: 408
  • pomoc w zadaniu z teorii obwodów

    Witam, Fakt, zapomnialem napisac jak sie tworzy taka macierz ukladu. Zalo( rz ) ż ylem ze to autor tematu umie. jakbyś przeczytał treść tytułowego postu autora: jest taki zadanko. trzeba obliczyc stosunek U2/U1, ale mam konkretny problem. zadanie jest rozwiazane metodą węzłową(węzły podpisane na układzie).po zapisaniu macierzy 4x4 prowadzący skreślił...

    Początkujący Elektronicy   16 Gru 2007 20:58 Odpowiedzi: 16    Wyświetleń: 2106
  • Zadanie z teorii obwodów MATLAB

    Witam, Mam do rozwiązania zadanie w matlabie ale coś chyba pomyliłam bo nie wychodzi mi bilans w węzłach czy ktoś mógł by pomóc, sprawdzić czy gdzieś nie ma błędu?. Poniżej wklejam mój program zadania w = 50 R1 = 1 R2 = 1 R3 = 1 R4 = 1 R5 = 1 L1 = 0.01 L2 = 0.01 C1 = 0.1 C2 = 0.1 C3 = 0.1 C4 = 0.1 J1 = 2-3*j Z10 = R2 - j/(w*C1); Z12 = R3 - j/(w*C2);...

    Początkujący Elektronicy   15 Lis 2012 12:43 Odpowiedzi: 0    Wyświetleń: 951
  • [Teoria sterowania] Model matematyczny silnika PMSM w Matlab Simulink

    Chciałbym uzyskać przebiegi prądów zbliżone do tych zaimplementowanych za pomocą bloków simulinkowych z Rys1, wykorzystując do tego celu równania( z mojego poprzedniego postu) zapisane w bloku Matlab Function, który działa w pętli sprzężenia zwrotnego układu. Wymuszeniem będzie np zadana prędkość, którą silnik osiągnie po jakimś tam czasie. Potrzebne...

    Nauka Szkolnictwo   27 Mar 2018 20:22 Odpowiedzi: 2    Wyświetleń: 2424
  • Implementacja sieci neuronowych

    Witam, Materiałów i książek na temat sieci neuronowych jest mnóstwo. W tym wiele artykułów w języku polskim. Kilka przykładów, aby nie być gołosłownym: 1) TadeusiewiczR.,Odkrywanie właściwości sieci neuronowych przy użyciu programów w języku C #, PAU, Kraków 2007. 2) ŻuradaJ., Barski M., Jędruch M. Sztuczne sieci neuronowe. Wydawnictwo Naukowe PWN,...

    Programowanie   05 Sty 2013 14:46 Odpowiedzi: 8    Wyświetleń: 5450
  • Studia - elektronika, informatyka a może coś innego?

    Witam. Wypowiadałem się w tym temacie jak byłem na drugim roku, teraz jestem na czwartym, kierunku Elektrotechnika, specjalność Automatyka na Polibudzie Świętokrzyskiej. No i powiem wam, że podobno miało być z górki. Słyszało się, że po 2 latach to będą przedmioty zawodowe, dużo laboratoriów itp., itd. I nic z tego nie wyszło. Jesteśmy pierwszym rocznikiem,...

    Nauka Szkolnictwo   25 Lip 2016 15:22 Odpowiedzi: 56    Wyświetleń: 32729
  • Chęc zagłębienia się w bardziej skomplikowane projekty

    Hmm myślę że zabawa dźwiękiem jest ciekawym zagadnieniem dla DSP. Filtrować, nakładać efekty itp. Dodatkowo matlab pozwala na odtwarzanie dźwięku z próbek, więc nie wielkim (z tego co widzę octave też ma taką możliwość, więc darmowym kosztem wręcz) można sobie poćwiczyć z czymś bardziej namacalnym. Teoria teorią, ale jakie projekty chciałbyś robić związane...

    DSP i Transmisja   24 Maj 2018 17:21 Odpowiedzi: 1    Wyświetleń: 663
  • Filtr allpass i cha-ka fazowa

    Mam pytanie do użytkowników :) Mam filtr cyfrowy allpass o danej charakterystyce fazowej, jest on stabilny - wszystkie zera są poza okręgiem jednostkowym, bieguny co wiadome wewnątrz. A teraz pytanie jak otrzymać stabilny filtr allpass tylko o odwrotnej charakterystyce fazowej? Do projektowania filtru korzystam z matlaba, ale teoria również się przyda...

    DSP i Transmisja   10 Maj 2017 12:20 Odpowiedzi: 3    Wyświetleń: 5948
  • Układ do lokalizacji INDOOR

    Witam, Chciałbym Wam pokazać system do lokalizacji wewnątrz pomieszczeń. Do projektu użyłem modułów DW1000. W polskojęzycznym Internecie na temat tego układu za wiele nie ma. Szkoda, bo wydają mi się one bardzo ciekawe. Przedstawiłem już mój projekt na jednym z forów, ale chciałbym poznać też opinię użytkowników elektrody. Moduły wyposażone są w anteny,...

    DIY Konstrukcje   21 Cze 2018 13:00 Odpowiedzi: 13    Wyświetleń: 8514
  • Studiowanie AiR. Co trzeba umieć?

    Co do zagadnień z informatyki to myślę, że Przede wszystkim MATLAB! Język programowania wspomagający wszelkie dziedziny politechniczne i nie tylko. Na rynku w tym roku pojawił się wysyp książek do tego oprogramowania, ja polecam 2: "Matlab 7 dla naukowców i inżynierów" Rudra Pratap oraz "Matlab środowisko obliczeń naukowo-technicznych" Jerzego Brzózki...

    Automatyka Przemysłowa   16 Paź 2010 17:45 Odpowiedzi: 21    Wyświetleń: 6985
  • Atlys Board - fdatool - filtr audio

    Tłumienie nie powinno występować w paśmie przepustowym, więc coś jest nie tak. Trzasków też nie powinno być - jak coś to lekkie pogorszenie jakości dźwięku (w zależności od rozdzielczości przetwornika) I nie da się podłączyć Matlaba ze sprzętem (no chyba, że chodzi Ci o podłączenie L_OUT z płytki do L_IN kompa i analizowanie sygnału). Idea kosymulacji...

    Programowalne układy logiczne   29 Gru 2012 13:39 Odpowiedzi: 1    Wyświetleń: 1434
  • Programy do tworzenia schematów, wykresów wektorowych itd...

    Oczywiście przed napisaniem posta wygooglowałem, że najwięcej osób poleca właśnie autocada jednak spotkałem się też z opinią że narysowanie przebiegu sinusoidalnego w autocadzie jest bardzo trudne i lepiej do tego celu użyć innego programu. Na dobrą sprawę potrzebuje tylko jednego rysunku mającego na celu oddać istotę symetrii napięć w układzie trójfazowym...

    Nauka Elektroniki, Teoria i Laborki   06 Kwi 2017 09:09 Odpowiedzi: 11    Wyświetleń: 6669
  • Algorytm FFT. Dla większej liczby próbek pojawia się błąd.

    Witam Wklepałem sobie program to Matlaba z książki Zielińskiego "CPS od teorii do zastosowań". Wygląda on tak: %GENERACJA SYGNAŁU N=8; %liczba próbek sygnału x=0:N-1; %przykładowe wartości próbek typBitReverse=1; typFFT=1; %PRZESTAWIENIE KOLEJNOŚCI PRÓBEK: wersja 1-wolna ........... %WŁAŚCIWE FFT - wersja 1 - wolniejsza if (typFFT==1)...

    DSP i Transmisja   19 Paź 2006 19:24 Odpowiedzi: 9    Wyświetleń: 8276
  • Inżynieria Biomedyczna CZĘSTOCHOWA I LUBLIN

    Na EiT nie ma za dużo programowania, choć to też zależy od konkretnej uczelni... Teoria sygnałów to imo nie programowanie mimo że piszesz dużo w matlabie. Jeśli znasz podstawy projektowania to od razu patrzysz na urządzenie inaczej. Liczenie rozszerza myślenie, ale racja teoria pola EM może być trochę uciążliwa, druty też, ale jak chcesz być elektronikiem...

    Nauka Szkolnictwo   13 Kwi 2012 21:02 Odpowiedzi: 4    Wyświetleń: 2079
  • Jak rozwiązać zadanie z stanów nieustalonych.

    O to chodzi, że szukałem, pytałem i nic. Na wykładach rozwiązania takiego typu obwodów nikt nam nie pokazywał, w książkach stany nieustalone wyjaśnione są tylko ogólnikowo. Jak do tej pory mało mi to rozjaśniło, poza tym równania stanu to dopiero początek, ponieważ całą symulacje muszę przeprowadzić oddzielnie w Simulinku i PSpice, więc bez tego nie...

    Nauka Elektroniki, Teoria i Laborki   21 Maj 2008 10:30 Odpowiedzi: 10    Wyświetleń: 3892
  • Gdzie polecacie studiowac Automatyke i Robotyke ?

    Studiowałem (studiuję) AiR na Politechnice Gdańskiej. I stopień skończyłem na wydziale ETI a teraz II stopień zaocznie na EiA. Zagadnienia poruszane na studiach bardzo podobne. Na ETI elektryka potraktowana trochę po łebkach, za to dużo modelowania, symulacji, teorii sterowania. Sporo programowania, dużo matlaba. Było sporo ciekawych prac inżynierskich...

    Nauka Szkolnictwo   03 Sty 2015 17:39 Odpowiedzi: 1    Wyświetleń: 654
  • W obwodzie panuje stan ustalony, wyznaczyć prąd

    Dzięki serdeczne za udzieloną pomoc, zadanie zadaniem, się odda, ale jeszcze kilkanaście razy przeanalizuje to co zrobiłem i dlaczego, żeby coś w głowie zostalo a nie tylko odbębnione zadanie. Proszę bardzo, cała przyjemność po mojej stronie. Na początek fotka z rozwiązaniem z MathCADa: Zapis wartości chwilowej prądu i(t) - odpowiedź - podałem tu już...

    Nauka Elektroniki, Teoria i Laborki   26 Maj 2015 14:49 Odpowiedzi: 13    Wyświetleń: 3423
  • Widmo sinusa, prostokąta i piły.

    Witam. Jeśli masz na myśli widmo sygnału dyskretnego to otrzymuje się je za pomocą D iscrete F ourier T ransform - DFT . Oto wzór, który pozwoliłem sobie skopiować k - indeks kolejnych 'prążków' widma n - indeks kolejnych próbek sygnału, który transformujemy N - liczba próbek 'wziętych' do transformaty W praktyce jednak (w różnych aplikacjach DSP) nie...

    DSP i Transmisja   29 Mar 2009 22:25 Odpowiedzi: 1    Wyświetleń: 3322
  • Odszumianie sygnalu - siec neuronowa

    Witam, Chcialbym stworzyc siec neuronowa ktora potrafilaby odszumiac podstawowe sygnaly elektryczne takie jak sinus, prostokat i trojkat. Jestem poczatkujacy w tych sprawach, moze jest tu ktos kto sie zna w temacie i moglby pomoc ? Jestem troche naszpikowany teoria, ale praktycznie jeszcze nic zwiazanego z sieciami neuronowymi nie robilem. Z tego co...

    Początkujący Elektronicy   19 Paź 2008 19:32 Odpowiedzi: 9    Wyświetleń: 3071
  • Wyższość świąt Bożego Narodzenia nad Wielkanocą - czyli niekończąca się dyskusja o oscyloskopach

    Na zrzutach które podesłał (at)zdziwiony widać to samo: przebieg prostokątny ograniczony do 5 albo 3 harmonicznej. Efekt Gibbsa to tam widać może na jednym czy 2 zrzutach i to tych z w miarę wysokim próbkowaniem. Oscyloskop miał wystarczające pasmo analogowe, ale pasmo cyfrowe zostało sztucznie ograniczone, przy pomocy manipulacji z lupą cyfrową, aby...

    Projektowanie i Tworzenie Po godzinach   03 Mar 2024 20:02 Odpowiedzi: 148    Wyświetleń: 3885
  • Program do liczenia obwodów na linux

    Witam, jestem studentem I semestru EiT i jeszcze za bardzo nie orientuje się w elektronice jednak mam teorie obwodów i w sumie przydałby się mi jakiś prograsm do liczenia obwodów, a że posiadam linuxa, to nie mogę zainstalować EWB którego polecał mi kumpel, więc pisze do Was cobyście mi doradzili. Mam zainstalowanego matlaba na linuxie, ale nie wiem...

    Projektowanie PCB   06 Lut 2008 13:40 Odpowiedzi: 2    Wyświetleń: 1583
  • Nastawy FB41, co robię nie tak?

    Witam, Łączę sterownik siemens S7 przez kartą pomiarową z Matlabem. W sterowniku mam wgrany regulator pid (FB41). W Symulinku wstawiony obiekt inercyjny trzeciego rzędu. Komunikacja między sterownikiem i matalbem przebiega wzorowo. Problem mam przy wyznaczeniu nastaw. Korzystam z Zinglera Nikolsa. Zgodnie z teorią zaczynam od samego członu P, zwiększam...

    Automatyka Przemysłowa   18 Sty 2011 18:48 Odpowiedzi: 0    Wyświetleń: 1242
  • Materiały przydatne do nauki przetwarzania sygnałów samemu

    Nie mam niestety żadnych materiałów ponieważ nie zajmowałem się DSP jakoś dokładnie. Mogę tylko polecić żebyś w wypadku gdy uważasz że nie umiałbyś jakiegoś zagadnienia wykorzystać w praktyce to po prostu odpal Matlaba i próbuj różne rzeczy i konfrontuj teorię z praktyką. Sprawdź twierdzenie Nyquista, aliasing, przeciek, stwórz różne rodzaje filtrów...

    Nauka Elektroniki, Teoria i Laborki   15 Kwi 2018 20:23 Odpowiedzi: 4    Wyświetleń: 594
  • Obserwator Luenbergera

    Jasne że jest możliwość tylko że wymiary macierzy muszą się zgadzać, operacje sumowania, mnożenia itd w simulinku muszą być poprawne (da się je wykonać) Zamieszczam teorie do tego problemu - z własnej laborki teorii sterowania - polecenia matlabowskie do rozwiązywania tego problemu. Jest jeszcze help w Matlabie który także Ci wyjaśni ale niestety po...

    Automatyka Przemysłowa   28 Gru 2007 17:50 Odpowiedzi: 1    Wyświetleń: 3074
  • Rozwinięcie funkcji okresowej w szereg Fouriera - sprawozdan

    Witam. Jako ze z matematyki jestem na bakier, wiec kieruje swoją prośbę do szanownych kolegów/koleżanek znających sie na obliczaniu amplitud wyższych harmonicznych dla przebiegów nie sinusoidalnych. Zadanie jest z laboratorium Teorii Sygnałów, zadał nam gościu trzy przebiegi (prostokąt, trójkąt, jednopołówkowo wyprostowany sinus). przy pomocy jakiegoś...

    Początkujący Elektronicy   02 Kwi 2009 20:01 Odpowiedzi: 5    Wyświetleń: 9282
  • Silnik DC obcowzbudny - Hamowanie

    Witam!! Realizuję model silnika obcowzbudnego w Matlabie, zasilając go napięciem stałym, jednopołówkowym, dwupołówkowym. Jak przy zasilaniu stałym (twornika i wzbudzenia) nie ma żadnych kłopotów, tak przy zasilaniu jednopołówkowym (twornika i wzbudzenia) kilka wątpliwości mi się nasuwa... A mianowicie: czy przy zasilaniu jednopołówkowym, dwupołówkowym...

    Nauka Elektroniki, Teoria i Laborki   24 Cze 2008 21:31 Odpowiedzi: 5    Wyświetleń: 5124
  • PROJEKT-CZWÓRNIK AKTYWNY-POTRZEBNA POMOC

    hmm,no że w teorii to ja wiem,tylko jak to zaprojektować,bo ja nie mam pomysłu jak sie zabrać do tego,jaki układ itp. No i cały pic polega na tym aby zaprojektowny układ sprawdzić w matlabie-bo taka jest istota przedmiotu z jakiego jest to zadanie(analiza i projektowanie obwodów elektrycznych,PW)

    Początkujący Elektronicy   12 Paź 2006 10:50 Odpowiedzi: 3    Wyświetleń: 1191
  • start z DSP

    powyższe to prawda, można "na sucho" - jeżeli masz Matlab-a lub tego typu soft - można teorię (i to wcale niełatwą) poznać, ale prawdziwa jazda zaczyna się kiedy masz "żywy" sprzęt! ja na okazję dyplomu nabyłam zestaw uruchomieniowy Ez-Kit Lite ADSP2181 (na pokładzie był procek Analog Devices adsp2181) oraz codec stereo (numeru kostki nie pamiętam),...

    DSP i Transmisja   29 Lis 2005 23:45 Odpowiedzi: 10    Wyświetleń: 5069
  • Regulator LQR - obliczanie parametrów

    Po co chcesz liczyć na piechotę? Nie ufasz Matlab-owi? Tu masz wzory i teorię. Bez znajomości transmitancji, transformaty Laplace'a, całkowania ani rusz.

    Automatyka Przemysłowa   23 Wrz 2011 21:44 Odpowiedzi: 2    Wyświetleń: 4059
  • FFT algorytm - obliczeniach poszczególnych harmonicznych sygnału napięcia

    Witam, na wstępie zamieszczę kod Matlabowski z ostatnich zajęć laboratoryjnych z Sygnałów i Systemów Dynamicznych, ponieważ rozwiązywaliśmy ten problem: Zagadnienie: Rekonstrukcja sygnału na podstawie widma częstotliwościowego [syntax=matlab] x=load('sawtooth.dat');%sygnał do rekonstrukcji X=fft(x); %wektor wartości dla różnych częstotliwości, 1 element...

    DSP i Transmisja   29 Gru 2016 18:18 Odpowiedzi: 3    Wyświetleń: 6930
  • Obliczenie uchybu ustalonego(pomoc)

    No wiec robisz tak... E=1/(1+100/(2s+1)*0.09) A zeby obliczyc wartosc to liczysz taka granice lim(s->0)(s*1)/(1+100/(2s+1)*0.09)*(1/s) Zaraz jeszcze sprawdze czy mnie pamiec nie zawodzi :) Sprawdzone w matlabie, wszystko ok:) Tutaj masz swietna stronke o automie, teraz juz niestety troche okrojona, ale dalej jest wiele przydatnych informacji

    Automatyka Przemysłowa   25 Lut 2007 20:11 Odpowiedzi: 2    Wyświetleń: 6367
  • Pomoc w wyborze zawodu. Elektryk,Elektronik, Informatyk

    Drogie koleżanki, Mili koledzy! Przeraża mnie to co piszecie w tym temacie. W żaden sposób nie pomagacie młodemu wybrać kierunku nauki, podkreślacie tylko, że mu się nie chce uczyć, że jest leniwy. Przypuszczam, że nie jest to prawda. Gdyby był leniwy poszedłby do pierwszego lepszego liceum. Świetnie rozumiem problem z wyboru zawodu, kilka lat temu...

    Nauka Szkolnictwo   29 Gru 2009 10:26 Odpowiedzi: 13    Wyświetleń: 7603
  • wybor studiow- szukam porady

    Jestem na MEiLu na AiR. Ogólnie to masz teraz (od nowszych roczników) dwie specjalizacje - Robotykę i coś z Biorobotyką/Biomechaniką. Mechanika: Oprócz standardowej mieszanki mechaniczno-matematycznej typu analiza, algebra, mechanika itp, to kierunek jest o wiele bardziej nastawiony na aspekty mechaniczne i te dotyczące teorii sterowania, aniżeli elektroniczne....

    Nauka Szkolnictwo   11 Lip 2014 18:05 Odpowiedzi: 2    Wyświetleń: 1110
  • Moc pozorna w obwodach trójfaz - Galimatias czy reguła?

    pupinizator Dobre pytanie. Dlatego najprawdopodobniej dobrze by było mieć miernik elektroniczny, który będzie całkował moc chwilową. Żarty jakieś..ja taki kupiłem za 20 zł ,przeceniony w Lidlu Kolego Jekab, ja bardzo lubię żartować. Liczę na to, że w końcu pękniesz ze śmiechu i przestaniesz "ubogacać" ten temat. Wzory są piękne, gdy nadoużają* za praktyką...

    Elektryka Instalacje i Sieci   14 Lip 2018 15:37 Odpowiedzi: 294    Wyświetleń: 21840
  • filtr Hilberta

    Witam Potrzebuję zaprojektować przesuwnik fazy –pi/2 dla sygnałów dyskretnych w paśmie 300-3000Hz z wykorzystaniem procesora sygnałowego. Operację taką realizuje transformata (filtr) Hilberta. W książce „Podstawy teorii sygnałów” Szabatin pisze: „Tego typu filtr można zrealizować już fizycznie”. Tu zaczyna się problem,...

    DSP i Transmisja   16 Cze 2008 14:39 Odpowiedzi: 6    Wyświetleń: 5249
  • silnik obcowzbudny prądu stałego - symulacja simulink

    Widze że nikomu ten teat nie leży. W zasadzie wiem jak zrobić to w teorii ale nie znam się na Matlabie i simulinku, dlatego mam problem z reaizacją tego pomysłu. Mianowicie mam obroty (w wartościach znamonowych - radiany), potrafie sobie je przeliczyć na prędkość kątową. Wiem że przy kącie ok 60* mój silnik osiaga wartość 750 obr/min. Obiążenie jest...

    Nauka Elektroniki, Teoria i Laborki   12 Lut 2013 21:22 Odpowiedzi: 2    Wyświetleń: 4879
  • Kompedium wiedzy na temat CPLD/FPGA

    Witam!!! Na wstępie proszę abyście nic nie dopisywali żadnych odpowiedzi do tego tematu. Jak chcesz coś dodać od siebie to proszę o informacje na priva. Dokleję i poinformuje co kto dodał o ile ta informacja będzie coś wnosiła do tego kompendium. Chce wprowadzić taki zabieg aby czytelnicy nie wertowali tego posta co kto dodał. To ma ktoś otworzyć i...

    Programowalne układy logiczne   01 Lut 2016 15:02 Odpowiedzi: 2    Wyświetleń: 35242
  • początek dsp - co polecacie?

    Witam Obowiązkowa lektura na początek to: "Przetwarzanie sygnałów przy użyciu procesorów sygnałowych" A. Dąbrowskiego Polecam również książkę Lyons'a wymienioną wcześniej z wydawnictwa WKiŁ. oraz: "Od teorii do cyfrowego przetwarzania sygnałów" Tomasza P. Zielińskiego. Książka napisana jest w sposób zrozumiały, choć nie brakuje matematyki. Dużo przykładów...

    DSP i Transmisja   14 Kwi 2007 10:58 Odpowiedzi: 11    Wyświetleń: 3544
  • Jaki kierunek na politechnice po technikum elektronicznym?

    Hej :) Aktualnie studiuje Elektrotechnike na AGH na Wydziale Elektrotechniki, Automatyki, Informatyki i Elektorniki - jestem na module C (Uklady Sterowania i Systemy Pomiarowe). Pierwsze 3 semestry sa nieprzyjemne wlasciwie to mozna zapomniec o innym zyciu niz uczelnia (najgorszy jest 3. - po okolo 5 kolokwiow co tydzien). Jest duzo matematyki (3 semestry)...

    Nauka Szkolnictwo   25 Lut 2011 21:36 Odpowiedzi: 206    Wyświetleń: 41248
  • Automatyk/robotyk- konstruktor

    Witam. Chciałbym się dowiedzieć czy może ktoś z Was orientuje się gdzie osoba po automatyce i robotyce może pracować jako konstruktor - chodzi mi głównie o modelowanie układów, analizę stabilności, konstrukcja i projektowanie układów sterowania. Ogólnie zainteresowały mnie zagadnienia dotyczące projektowania regulatorów, matlab/simulink, teoria sterowania,...

    Automatyka Przemysłowa   11 Maj 2009 02:06 Odpowiedzi: 19    Wyświetleń: 6936
  • Program, wyznaczanie wspolczynnikow szeregu Fouriera

    Teorie znam bardzo dobrze. Problem mam z przeniesieniem tego do Matlaba.

    Programowanie   19 Sty 2011 17:36 Odpowiedzi: 2    Wyświetleń: 1960
  • Mechatroniki vs Automatyka i Robotyka ZUT

    Ściągnij sobie plan przedmiotów na obu kierunkach ze strony uczelni. Porównaj. Ja studiuję AiR na wydziale mechanicznym w Białymstoku. Moim zdaniem (i wielu ci to powie) profil kierunku zależy od wydziału na którym się znajduje. Na mechanicznym mamy dużo przedmiotów mechanicznych szczególnie na pierwszych semestrach np.: rysunek maszynowy, napędy płynowe,...

    Nauka Szkolnictwo   04 Lip 2015 16:17 Odpowiedzi: 6    Wyświetleń: 4841
  • Urządzenie do pomiaru czasu reakcji pacjenta

    Na większości uczelni w PL taki poziom jest wręcz egzotyczny a sama praca uważana by była za świetną. Uwierz mi że poziom tej pracy jest naprawdę wysoki w porównaniu do innych. Czego to promotorzy nie robią dla pieniędzy. .. Wierzę, ale to tylko świadczy o polskich uczelniach i to na pewno nie świadczy dobrze. Powiem w ten sposób. Na kierunku było...

    DIY Poczekalnia   13 Wrz 2015 14:41 Odpowiedzi: 33    Wyświetleń: 8436
  • Termometr - Tendencja spadkowa temperatury/ciśnienia

    Chodzi Ci o to ostatnie zdanie: Ja próbuję dyskutować merytorycznie, Ty w co drugim zdaniu wykrzykujesz "kłamstwo", które to słowo przeciwstawiasz moim dość konkretnym i merytorycznym stwierdzeniom. Chodzi Ci o merytoryczne stwierdzenia: 1. To Ty pokazałeś obrazek: na wejściu zaszumiony sinus, na wyjściu odszumiony cosinus, i napisałeś, że to jest filtr...

    Arduino   06 Lut 2017 13:30 Odpowiedzi: 53    Wyświetleń: 2814
  • Materiały do projektowania filtrów aktywnych

    Proszę bardzo kilka linków : 1. 2. 3. Jak będziesz potrzebował info na temat jak zaprojektować filtr w środowisku Matlab to też gdzieś taki tutorial miałem :) Pozdrawiam :)

    Początkujący Elektronicy   15 Mar 2006 11:51 Odpowiedzi: 10    Wyświetleń: 5072
  • Projekt z automatyki,robotyki

    Witam wszystkich potrzebuje waszej pomocy,maj do zrobienia projekt z jednego z poniżej podanych tematów.Pomożecie Oto tematy: 1. Kryteria stabilności układów dynamicznych. Dokonać przeglądu najważniejszych kryteriów stabilności układów dynamicznych np. kryterium Hurwitza, Nyquista itp. Teorię dotyczącą każdego kryterium zilustrować przykładem. Napisać...

    Automatyka Przemysłowa   08 Lip 2010 15:19 Odpowiedzi: 2    Wyświetleń: 3082
  • LPC4088 - I2S generator sinusa

    akumulator fazy inkrementowany o stałą X co 1/48000 sekundy Czym w takim razie jest k, jak nie akumulatorem fazy inkrementowanym co 1/48000s? Z opisu wynika, że z taką częstotliwością dane są wysyłane do DAC. k jest inkrementowane prawidłowo, a po przepełnieniu indeks dla LUT jest cofany o wielkość tablicy, aby nie gubić fazy. Moim zdaniem to akurat...

    Mikrokontrolery ARM   09 Mar 2015 09:28 Odpowiedzi: 17    Wyświetleń: 2187
  • Potrzebuje wiadomości o filtrowaniu !!!

    sygnał na wejściu mojego filtra np.RC ma postać y=sin(2*50*pi*t)+2*sin(2*120*pi*t)-czyli jest to napiecie wejsciowe tak??; Tak. W podrzuconym programie wpisujesz poprostu to równanie tam, gdzie jest napisane "generator (...)", czyli: stan.u1 = sin(2*50*PI*t)+2*sin(2*120*PI*t);, a całą konstrukcję if else wywalasz. wobec tego jaki bedzie sygnal na wyjsciu(chodzi...

    Początkujący Elektronicy   16 Kwi 2005 23:20 Odpowiedzi: 19    Wyświetleń: 4566
  • Wyznaczanie częstotliwości...

    Witam, W jaki sposób mo¿na prosto policzyć częstotliwość główną i harmoniczne, a także THD sygnału, dostępnego wyłacznie jako kolejne próbki - zapisane do pliku. Do wykorzystania możliwe są środowiska Matlaba i C, ale najpierw interesuje mnie teoria do tego tematu. Czy ktoś mógłby coś pomóc? Może ktoś robił coś podobnego i móglby sie podzielić...

    Początkujący Elektronicy   23 Lip 2005 23:51 Odpowiedzi: 1    Wyświetleń: 873
  • Potrzebna pomoc w Dyskretnej Transformacie Fouriera

    Witam Wklepałem sobie program to Matlaba z książki Zielińskiego "CPS od teorii do zastosowań". Wygląda on tak: %GENERACJA SYGNAŁU N=8; %liczba próbek sygnału x=0:N-1; %przykładowe wartości próbek typBitReverse=1; typFFT=1; %PRZESTAWIENIE KOLEJNOŚCI PRÓBEK: wersja 1-wolna if (typBitReverse==1) MSB=log2(8); for n=0:N-1; ncopy=n; nr=0; for m=1:MSB if(rem(n,2)==0)...

    DSP i Transmisja   23 Lut 2010 14:22 Odpowiedzi: 45    Wyświetleń: 16678
  • Problem z zadaniem z automatyki / elektrotechniki

    Witam, mam problem z takim oto zadaniem , gdyz nie mam pojecia o automatyce a tym bardziej elektrotechnice , ale jak na zlosc mam rozwiazac cos takiego, teorie czytalem ale nijak nie potrafie tego rozwiazac zabardzo:/ Wiec prosze Was o pomoc , na linku ponizej udostepniam tresc zadania : Nalezy napisac rownania dla kazdego z trzech obwodow pokazanych...

    Początkujący Elektronicy   20 Lis 2006 16:57 Odpowiedzi: 4    Wyświetleń: 1151
  • obliczenie wartości skutecznej

    owszem różni się: implementacja fft w matlabie pociąga za soba pewne błędy numeryczne związane z dokładnością środowiska obliczeniowego, co do prblemu - rozwiązany. Sprawa skupiała się błędzie popełnionym przy pisaniu linijki kody dla dyskretnego przekszt. Fouriera. temat można zakończyc. fft - szybka transformata Fouriera, innymi słowy; numeryczna...

    Początkujący Elektronicy   21 Gru 2006 20:23 Odpowiedzi: 14    Wyświetleń: 8315
  • Wykreślanie ch-ki amlitudowowj funkcji transmitancji

    Witam, teorię masz za free, ponieważ tę już kiedyś na tym Forum napisałem, a teraz dla Ciebie ją tu uaktualniłem. Charakterystyka amplitudowo-fazowa G(j•ω) powstaje poprzez podstawienie: s = j•ω w wyrażeniu na transmitancję operatorową G(s) , czyli: G(j•ω) = G(s = j•ω) = P(ω) + j•Q(ω) , gdzie...

    Początkujący Elektronicy   11 Cze 2007 17:54 Odpowiedzi: 6    Wyświetleń: 5412
  • DSP, filtry - symulacja w MathLabie

    Tomasz P. Zieliński "Cyfrowe przetwarzanie sygnałów Od teorii do zastosowań" Znajdziesz w niej dużo przykładowych programów w matlabie, także FIR i IIR.

    DSP i Transmisja   19 Wrz 2008 18:35 Odpowiedzi: 2    Wyświetleń: 1350
  • Okno Kaisera

    Witam. W jaki sposób można wyznaczyć te współczynniki ? Do tego jest, że się tak wyrażę, trochę nieco grubszej teorii więc wygodnie będzie skorzystać np z gotowych funkcji w Matlabie jak fir1, które są w stanie takie współczynniki przy zadanych warunkach wyliczyć. do przesyłania ludzkiego głosu ( czyli od około 16Hz do 20kHz) Jest to pasmo w jakim człowiek...

    DSP i Transmisja   22 Kwi 2009 22:21 Odpowiedzi: 6    Wyświetleń: 3956
  • Studia na Elektrotechnice.

    Przydatna jest umiejętność programowania w matlabie, wiele przedmiotów korzysta właśnie ze skryptów lub modeli w simulinku (ja miałem np. modele prostowników sterowanych - energoelektronika, obliczenia z cyfrowego przetwarzania sygnałów, instalacji elektrycznych, modele regulatorów z teorii sterowania).

    Nauka Szkolnictwo   19 Lip 2009 15:38 Odpowiedzi: 6    Wyświetleń: 1599
  • Jak przefiltrować sygnał - obwiednia

    To co piszesz o filtrze medianowym to taki sam frazes jak byś napisał, że FFT najlepiej nadaje się do zastosowania w WinAmpie. I wcale demodulacja amplitudowa nie polega na przefiltrowaniu filtrem LP (inna nazwa takiej demodulacji to np. demodulacja szczytowa, detekcja szczytowa). Jak mniemam pewnie studiujesz i właśnie przerabiasz Teorię Sygnałów,...

    DSP i Transmisja   05 Kwi 2010 21:40 Odpowiedzi: 11    Wyświetleń: 3467
  • Budowa sztucznej sieci neuronowej.

    Jeśli umiesz programować to tu są kody przykładowych programów : [url=] Poza tym zebrałem kiedyś sporą ilość materiałów o sieciach neuronowych, tyle że głównie w języku angielskim. Gdybyś był zainteresowany to mogę podesłać. Można też w Matlabie badać sieci , tak uczą w wielu podręcznikach, jednak żeby przenieść tą teorie na praktyke potrzeba dodatkowego...

    Początkujący Naprawy   05 Mar 2012 20:40 Odpowiedzi: 6    Wyświetleń: 2474
  • Wypasiony symulator układów elektronicznych

    Nie tędy droga. "Wypasiony" nic ci nie da, na symulatorze nie nauczysz się jak działają układy, a jak się nauczysz to źle, bo symulator czasem "oszukuje" i trzeba znać dobrze działanie symulowanego układu, żeby to rozpoznać. Poza tym najważniejsza jest zgodność modeli matematycznych z rzeczywistymi elementami - za to firmy płacą furę kasy i tego za...

    Projektowanie Układów   10 Maj 2013 23:59 Odpowiedzi: 9    Wyświetleń: 27009
  • Projekt filtr IIR pasmoprzepustowego

    Ja Ci proponuję sięgnąć po bardzo dobrą książkę: Tomasz Zieliński "Cyfrowe przetwarzanie sygnałów. Od teorii do zastosowań". W niej jest wszystko czego potrzebujesz do takiego wyliczenia, wraz z przykładami. Na początek musisz zaprojektować prototyp analogowy. W tej książce jest wszystko dokładnie, krok po kroku opisane. Następnie musisz wiedzieć lub...

    Nauka Elektroniki, Teoria i Laborki   04 Maj 2012 11:16 Odpowiedzi: 12    Wyświetleń: 2143
  • Studia na PŁ, dylemat z wyborem właściwego kierunku

    Temat sprzed 3 miesięcy ale myślę, że warto się wypowiedzieć. Skończyłem PŁ na kierunku Elektrotechnika jakieś 3 lata temu. Chciałem iść na informatykę ale się nie dostałem (pomijam fakt, że już na studiach kolegom informatykom pisałem potem zaliczenia z programowania i naprawiałem komputery heh). Podoba mi się filozofia PŁ, że przyjmują praktycznie...

    Nauka Szkolnictwo   23 Maj 2012 21:26 Odpowiedzi: 73    Wyświetleń: 12641
  • Elektronika i telekomunikacja - studia, czego się douczyć

    Oj nie powiedziałbym. Na elektronice jest sporo programowania (FPGA, mikroprocesory itp.), ale wcale na telekomunikacji nie ma mniej (chociażby pisanie koderów, dekoderów, nadajników, odbiorników w Matlabie czy C++, wszelkie DSP na procesorach sygnałowych itp.). Dodano po 1 Decyzję musisz podjąć sam, zobacz jakie przedmioty są na obu i jakie specjalności,...

    Nauka Szkolnictwo   20 Maj 2013 21:33 Odpowiedzi: 124    Wyświetleń: 30396
  • Kalkulator na studia - Elektronika

    Ja na studiach (elektrotechnika, 3 semestr dzis skonczony, została ino sesja) uzywam Casio FX570ES Plus i nie narzekam. Wytrzymały, do obliczeń do obwodów na Teorii Obwodów jest odpowiedni, ten sam model z resztą polecał nam prowadzący na początku zajęć. Całki tylko oznaczone, pochodna w punkcie. W tej grupie cenowej raczej nie znajdziesz nic co nieoznaczone...

    Nauka Szkolnictwo   18 Sty 2013 20:29 Odpowiedzi: 4    Wyświetleń: 4140
  • Karta akwizycji danych - ATMEGA"X"

    Jednak po powyższych propozycjach i opisie problemu , zdecyduje się chyba na wariant przetwornik uK<--MUX<--ADC (12 bit) <--3xCzujnik , szczególnie że być może będę chciał rozbudować układ o "zabezpieczenie" zwarciowe lub od nie symetrii napięć . Propozycja wykorzystania ATXmega jest ciekawa , tylko czy uda mi się przejść problem implementacji...

    Mikrokontrolery AVR   10 Mar 2013 23:04 Odpowiedzi: 24    Wyświetleń: 4116
  • Programowanie PLC ??? - Gdzie się nauczyć i jak z przyszłymi zarobkami

    Ech... paradoksalnie nie pomogliście. :D Już byłem jedną nogą na dziennych, ale skutecznie mi wybiliście ten wybór z głowy przynajmniej na jakiś czas... :) Co do studiów, to niestety macie rację... za dużo teorii, niepotrzebnego liczenia, matlaba, nie mówiąc już nawet o przemyśle, no ale to problem tych, którzy się sobą nie zainteresowali i myśleli,...

    Automatyka po godzinach   10 Wrz 2013 08:31 Odpowiedzi: 47    Wyświetleń: 17190
  • DF2462 - Nieprawidłowe wskazania SWR podczas strojenia anteny

    Powinienem przypomnieć, że wskazania takich reflektometrów czy innych WFS-ów (SWR-ów) to są tylko wskazania stosunku napięć reflektometrycznych w pojęciu "padająca" i "odbita" i nie powinniśmy jeszcze nazywać je (te wskazania) jako W spółczynnik F ali S tojącej. Tak naprawdę dopiero po przeliczeniu tych wartości odbita/padająca wyjdzie nam prawdziwy...

    CB Radio   21 Sie 2014 17:22 Odpowiedzi: 6    Wyświetleń: 2472
  • Prośba o podzielenie się PRZYDATNĄ wiedzą praktyczną

    Witam. Jestem nowym użytkownikiem tego forum, dlatego zdecydowałem się opisać swój problem. Obecnie studiuję kierunek Automatyka i Robotyka na mojej uczelni, jednakże wiem, że sama teoria nie wystarczy, żeby być dobrym w danej dziedzinie. Dlatego zwracam się z prośbą do wszystkich osób, które są na tym forum i pracują w czymś związanym z automatyką....

    Nauka Szkolnictwo   17 Mar 2017 15:10 Odpowiedzi: 3    Wyświetleń: 747
  • Literatura dla początkującego w automatyce

    Witam. Moja sytuacja wygląda tak. Jestem na drugim roku kierunku mechatronika, a więc kierunek dość ogólny, zawierający wiele dziedzin nauki. Nie mam problemu z zaliczaniem przedmiotów na studiach, jednak dobrze zdaję sobie sprawę, że to co od nas wymagają to za mało. Ogólnie jest to wydział mechaniczny i nie ukrywam, że nauczanie przedmiotów związanych...

    Automatyka Przemysłowa   04 Gru 2017 10:32 Odpowiedzi: 2    Wyświetleń: 627