REKLAMA

miga przebieg

Znaleziono około 1280 wyników dla: miga przebieg
  • Peugeot boxer 2012 euro5 - Migajacy calkowity przebieg kilometrow ..prosze o sc

    Tak jak w temacie auto ...peugeot boxer 2012 2.2 .hdi 110ps euro 5 .problem z migajacym przebiegiem ..podobno to problem z magistrala CAN..wywala mi na urzadzeniu diagnostycznym cos w rodzaju ... "Niezdefiniowany blad"" Czy ktos ma do tego pojazdu jakies schematy .. Podlaczenie licznika z jego zasilaniem .. Podobno dowiadywalem sie paru typow... Podobno...

    Samochody Elektryka i elektronika   25 Lis 2019 02:17 Odpowiedzi: 3    Wyświetleń: 7542
  • REKLAMA
  • Zasilanie diody migającej przebiegiem prostokątnym

    Witam, buduję układ, który na wyjściu będzie miał sygnał prostokątny o f=50Hz. Stan wysoki na wyjściu ma być sygnalizowany diodą led. Ma ona migać, ale przy częstotliwości 50 Hz nie będzie widać migania dlatego zobaczyłem, że istnieje coś takiego jak dioda migająca, jednak nie miałem nigdy z nią kontaktu dlatego nie wiem jak zachowa się przy zasilaniu...

    Początkujący Elektronicy   19 Paź 2014 12:40 Odpowiedzi: 12    Wyświetleń: 933
  • Fiat 500e 2015 - Brak Bluetooth. Migający przebieg

    Witam Objawy - miga przebieg. W Serwisie chcieli przeprowadzić konfigurację proxy ale niestety wg programu diagnostycznego na module Blue&ME nie ma napięcia. Nie mieli czasu się tym zająć. Wczoraj znalazłem moduł - pod schowkiem. Odłączyłem, podłączyłem, sprawdziłem wszystkie bezpieczniki. Ale przebieg nadal miga. Nie działa sterowanie głosowe, nie...

    Samochody Początkujący   23 Maj 2023 08:32 Odpowiedzi: 4    Wyświetleń: 3219
  • Miganie przebiegu całkowitego grande Punto 1.3 multijet

    Witam miga mi przebieg w grande Punto , czy może być to związane z brakiem radia seryjnego ? Albo nie podłączanym czujnikiem zużycia klocków hamulcowych ? Punto z systemem blue&me .

    Samochody Początkujący   14 Wrz 2021 22:15 Odpowiedzi: 4    Wyświetleń: 453
  • [accord 2005r] migajaca kontrolka swiec zarowych

    Witam Accord 2005r diesel, nastapil nastepujacy problem: Zatankowalem do pelna na sprawdzonej stacji (wczesniej lalem od dluzszego czasu ultimate diesel). nastepnego dnia wyjechalem w trase. po ok. 150km zaczela migac kontrolka swiec zarowych. dodatkowo komputer ograniczyl obroty silnika do 2tys. przejrzalem instrukcje gdzie bylo napisane ze przyczyna...

    Samochody Elektryka i elektronika   30 Sty 2008 13:37 Odpowiedzi: 1    Wyświetleń: 5042
  • REKLAMA
  • Migające 3 diody w Epson Stylus 600

    Niestety - nie pomaga. Cala procedura przebiega (chyba) poprawnie. Przy wlaczaniu drukarki z wcisnietymi przyciskami miga dioda Paper out i przy wcisnieciu przycisku Load/Eject na chwile zapalaja sie trzy diody, zaraz gasna, przelatuje procedura taka jak przy wlaczeniu drukarki (glowica przejezdza w te i z powrotem) glowica zatrzymuje sie w normalnym...

    Drukarki, ksero Profesjonalne   29 Gru 2014 21:26 Odpowiedzi: 17    Wyświetleń: 3054
  • Renault Magene I phII - zmiana przebiegu po zmianie licznika

    Witam, jakiś czas temu zmieniłam zegary, ponieważ przestał działać prędkościomierz, obrotomierz itp. Po wymianie zegarów uległ zmianie przebieg. Miał przed wymianą ok. 155 tyś, po wymianie ok. 227tyś. Czym jest to spowodowane? Dodam też, że w poprzednim liczniku wskazywał, ile mam jeszcze km. do przejechania orientacyjnie (do stacji benzynowej) a teraz...

    Samochody Początkujący   16 Cze 2015 12:55 Odpowiedzi: 6    Wyświetleń: 1026
  • SONY KDL-40NX720 - Tryb protection mode 2x blink miga. Wskazuje na Power Error

    Witam LED Sony Bravia KDL-40NX720 z problemem włączenia, po podłączeniu do gniazdka zaczyna przez 2-3 sekundy migać czerwona dioda standby w normalny sposób (jak to bywa przy sprawnym tv) a po tym czasie uruchamia się tryb Protection mode i dioda stanby zaczyna migać 2 razy w krótkich odstępach i przerwa dłuższa. Zaopatrzyłem się w dwa SM, jeden pod...

    Początkujący Naprawy   19 Lut 2017 15:05 Odpowiedzi: 6    Wyświetleń: 2841
  • Alhambra 1.9 TDI, 98 - lewe migacze świecą ciągłym światłem.

    Włączanie/wyłączanie alarmu przebiega poprawnie, wszystkie inne funkcje dziłają, jedyny występujący problem to ten lewy migacz. Jeszcze jedna uwaga: po wystąpieniu tego problemu odczytałem błędy w komputerze i znalazłem błąd lewej strony migaczy.

    Samochody Początkujący   17 Gru 2011 21:09 Odpowiedzi: 20    Wyświetleń: 8612
  • Hundai b70a - Miga zielona dioda, brak dalszej reakcji

    Dzięki za SM, oryginalnego zasilacza nie mam, podłączałem pod komputerowy ATX (12V). Drugi taki monitorek śmiga na takiej zasiłce. Tranzystory/diody sprawdzane miernikiem wyglądają na sprawne. Odłaczywszy modłuł WN dioda migała tak samo. Zamrażacz też nic nie pomógł. Dysponuję oscyloskopem aby sprawdzić przebiegi. ----- Standardowa usterka pt, kondensatory....

    Monitory Początkujący   29 Lip 2013 11:29 Odpowiedzi: 2    Wyświetleń: 1467
  • NIVONA 646 - Miga kontrolka - brak kawy

    Pozdrawiam Co się mogło uszkodzić ? W ekspressie Nivona 646 - jak braknie kawy w zbiorniku migają 3 ziarenka kawy. Dosypuję pełen zbiornik a ziarenka dalej migają. Młynek działa, ale tak jakby wogóle w nim ziarenek nie było (odgłos pustego przebiegu) , choć zbiornik pełen ! Czy jakiś czujnik mógł się uszkodzić ? Tylko dlaczego nie pobiera ziarenek do...

    AGD Drobny sprzęt   07 Lip 2020 18:08 Odpowiedzi: 11    Wyświetleń: 12465
  • Hyundai i30 2009 - Lewe światła i kierunkowskazy wariują, przygasaja, szybko mig

    Od kilu dni pojawił się problem ze światłami w Hundai i30 2009 rok. Prawa strona światła drogowe i mijania oraz pozycje jak i kierunek działają ok. Lewa strona po włączeniu na przełączniku świateł dziwnie zachowuje się, po włączeniu długich światła drogowe przygasają, mijania również, lekko świeci kierunek lewy a jak się włączy kierunek lewy to szybko...

    Samochody Elektryka i elektronika   28 Lip 2019 08:26 Odpowiedzi: 5    Wyświetleń: 1815
  • Drukarka HP 840C. Po włączeniu diody migają.

    Przede wszystkim zacznij od wyczyszczenia pasa transmisji danych /ten cienki pasek który biegnie przez całą drukarkę i przebiega również przez karetkę/, jak jest ten pasek brudny to najczęściej drukarka głupieje. Po wyczyszczeniu diagnozuj dopiero dalej. W 50/50 przypadków pomaga i jest ok. Chyba że padła płyta. Napisz o uzyskanych efektach. Pozdrawiam

    Drukarki, ksero Profesjonalne   27 Lis 2006 11:02 Odpowiedzi: 12    Wyświetleń: 3303
  • REKLAMA
  • Osobowy VW caddy - po otwarciu drzwi kierowcy miga wyświetlacz godziny i przebie

    I znowu muszę zwrócić się o pomoc do uczynnych. VW caddy osobowy. Po otwarciu drzwi kierowcy na wyświetlaczu migają- godzina i przebiegi kilom.Bardzo często zeruje się godzina i dzienny przebieg km.Po przekręceniu kluczyka w stacyjce wyświetlacz nie mruga i wszystkie symbole świecą się poprawnie.Uciążliwe jest natomiast częste prawie za każdym otwarciem...

    Samochody Elektryka i elektronika   20 Sie 2016 09:17 Odpowiedzi: 2    Wyświetleń: 1305
  • Odczytywanie ilości migawki w aparacie Canon EOS 760D na podstawie zdjęcia

    Chodzi o ilość wykonanych zdjęć. Każde zdjęcie w lustrzance to jednokrotne użycie migawki, elementu, który ma określoną trwałość. Dla Canonów amatorskich około 100 tys. Zdjęć, dla profesjonalnych 200-300 tys. Lustrzanki używane w trybie zdjęć seryjnych, albo używanych do timelapsów potrafią mieć naprawdę sporo nastukane. Żeby sprawdzić przebieg Canona...

    Aparaty Fotograficzne Serwis   23 Wrz 2023 12:48 Odpowiedzi: 10    Wyświetleń: 378
  • Migacz program na projekt w C++...

    Jestem studentem drugiego roku Elektroniki i Telekomunikacji, jako projekt do realizacji na przedmiocie "teoria obwodów" wybrałem prosty migacz dwóch diod led (schemat---> ). Należało skonstruować układ, zastąpić tranzystory modelem Eberssa-Molla i kondensatory modelem Eulera, wypisać równania węzłowe i wykreślić odpowiednie przebiegi w spice'ie, z...

    Programowanie   23 Kwi 2006 19:17 Odpowiedzi: 4    Wyświetleń: 1095
  • VW Golf prędkościomierz. Kontrolka oleju miga. Licznik nie pokazuje prędkości.

    Witam mam problem z prędkościomierzem i kontrolkom oleju która sobie miga po odpaleniu mierzyłem ciśnienie wszystko jest ok.A licznik wogule nie pokazuje prędkości przebieg się zmienia kilometry dzienne też.Auto stało tydzień w warsztacie zmiana głowicy. po wjechaniu w dźóre to się zaczęło a tak było dobrze.Proszę o szybkom pomoc

    Samochody Elektryka i elektronika   04 Maj 2007 16:02 Odpowiedzi: 6    Wyświetleń: 5161
  • Samsung ml 1640 Mam problem z oprogramowaniem i wyzerowaniem przebiegu.

    Witam, Dziś dałem zadanie drukarce wydrukowania pewnego artykułu, który zajmuje 3 strony wydrukowała 1 i zaświeciła się czerwona dioda, a smart panel pokazał że nie ma tonera i muszę wymienić. Przed tym zajściem migały dwie diody podczas każdego drukowania zielona na górze i czerwona niżej zawiadomiła że jest niski stan tonera chociaż go niedawno kupiłem...

    Drukarki, ksero Regeneracja   26 Mar 2011 20:57 Odpowiedzi: 34    Wyświetleń: 12759
  • OPEL CORSA C 1.0 - brak ciśnienia oleju - kontrolka na wolnych obrotach miga.

    Problem opisywany nie raz, jednak u mnie wszystkie metody zawiodły. Przy rozgrzanym silniku ok. 92 st zapala się na niskich obrotach kontrolka od ciśnienia oleju. Pomiar cisnienia manometrem wpiętym w miejsce czujnika ciśnienia oleju pokazuje 0,8 bara (przy ok. 85st), na maksymalnych obrotach ok 3 barów. Z tego co wiem, to jeśli ciśnienie spada poniżej...

    Samochody Mechanika   04 Sty 2023 16:12 Odpowiedzi: 32    Wyświetleń: 76677
  • HP 1022 - miga lampka, drukuje z dużym opóźnieniem

    Witam :) Dotyczy HP 1022 podłączonej lokalnie przez usb, Win XP. Problem wygląda tak, że po wysłaniu np. kilku wierszy z Notepada do drukarki pojawia się komunikat że dokument nie mógł być wydrukowany (...). Zielone światełko cały czas miga... po czym dokument drukuje się po ok 3 minutach ;) Jak wysyłam na drugie HP 1022 udostępnione po sieci to wszystko...

    Drukarki, ksero Początkujący   24 Paź 2012 17:44 Odpowiedzi: 0    Wyświetleń: 1218
  • kierunkowskaz - jakie wypełnienie przebiegu

    Dziękuję za szybką reakcję;) Dokument ciekawy, ale dotyczy raczej lamp kierunkowskazów i warunków uzyskania na nie homologacji, nie zaś samej funkcji "kierunkowskaz". Pozwolę sobie przytoczyć obszerniejszy fragment tamtego cytatu: 6.5. Zasadniczo pomiaru światłości dokonuje się przy stale włączonym(-ych) źródle(-łach) światła. "Jednak w zależności od...

    Samochody Eksploatacja   07 Sty 2013 07:30 Odpowiedzi: 7    Wyświetleń: 1623
  • Tv Thompson nie włącza się. Miga dioda

    Posiadam Tv Thompson 28DP25EG. Od pewnego czasu zaczal zachowywac sie dziwnie. Pod niego do wejscia euro mam podpiety dekoder dvbt Strong Prima V. Co jakis czas, gdy przebiegly psy kolo tv to dekoder sam sie resetowal. Zanim zaczely sie objawy z resetem dekodera tv regularnie sam sie zciszal i podglasnial. Wyglada to tak jakby cos z dekodera przeszlo...

    Początkujący Elektronicy   14 Wrz 2013 16:24 Odpowiedzi: 3    Wyświetleń: 4848
  • Mig-207 MMA Profi nie działa

    Nie napisane czy tylko nie wyświetla regulacji prądu czy nie ma tej regulacji i nie wyświetla prądu spawania. Jeżeli nie ma regulacji to jakim prądem spawa ta spawarka? Może się też uszkodzić jedna z diod 1N4148. Zenera też mogą się uszkodzić. W tej metodzie warto sprawdzic wszystkie elementy oraz ciągłość ścieżek między poszczególnymi elementami. Układ...

    Elektro Spawarki i Prostowniki   14 Lip 2023 15:43 Odpowiedzi: 33    Wyświetleń: 3495
  • Brother HL-2030 miga dioda papier - co robić po zaniku prądu?

    Nie trzeba wyrzucać drukarki. Złap za lutownice, albo użyj kropelki. Jeśli skleisz oba elementy w dobrej pozycji i wstawisz na swoje miejsce drukarka będzie działać. Element nazywany flagą i tak służy do wykrywania czy papier jest w danym miejscu czy go nie ma. Złamałem przypadkiem, wcześniej miała problem z drukowaniem. Ktoś miał za 2 dyszki taką...

    Drukarki, ksero Początkujący   21 Lut 2020 09:41 Odpowiedzi: 14    Wyświetleń: 393
  • Fiat Brava - Kontrolka ABS miga mimo braku systemu ABS w aucie

    Problem będzie leżał w liczniku, ale jak kupisz drugi to będziesz miał problem z przebiegiem. Skoro nie ma i tak ABS, to weź sreberko i zaklej kontrolkę.

    Samochody Początkujący   29 Lis 2020 20:59 Odpowiedzi: 3    Wyświetleń: 252
  • Seat Ibiza 6J 1.6 tdi 105KM CAY - migająca kontrolka świec żarowych

    Witam Panowie Auto to Seat Ibiza 2010r. 6j 1.6 tdi 105KM silnik CAY przebieg 195000 oryginalny. Na powrocie z wakacji po przejechaniu 250km zaczęła migać kontrolka świec żarowych. Auto nie straciło mocy, jechało normalnie jak zawsze. Zatrzymałem się i sprawdziłem światła stopu ale one działają normalnie. Po ruszeniu wszystko znikło ale po przejechaniu...

    Samochody Początkujący   28 Gru 2022 08:42 Odpowiedzi: 2    Wyświetleń: 1230
  • Philips FL2.26 - czerwony obraz, migające pasy

    Sprawdziłem napięcia na katodach R,G,B. Na katodzie R napięcie jest za niskie. R - 90V G - 116V B - 116V Po zamianie torów R z B na kineskopie pojawił obraz niebieski, dlatego sądze, że żadna z katod nie przywiera i kineskop jest sprawny. Napięcia na wejsciu wzm. wizji są jednakowe tzn.: R-42V G-42V B-42V Sądze że problem tkwi we wzm wizji, który jest...

    TV Serwis   24 Sie 2005 08:27 Odpowiedzi: 5    Wyświetleń: 1311
  • Generator nie miga.Dopasowanie wartości. Kondenasator.

    Teoretycznie wiem co to sprzezenie... Moze da sie w kilku prostych zdaniach powiedziec jak to sprzezenie w tym przypadku przebiega Przebiega od kolektora T2 do bazy T1 wlasnie m.in. przez ten nieszczesny kondensator. A kondensator tak ma dzialac ze gdy osiagnie maksymalny ze stanow naladowania (czyli gdy prad plynacy przez niego chwilowo spadnie do...

    Początkujący Elektronicy   17 Mar 2007 15:17 Odpowiedzi: 11    Wyświetleń: 1863
  • Skoda Fabia 1.4 - migająca kontrolka oleju

    Rozłączyłem na pewien czas akumulator, aby skasować zapisane błędy. Wczoraj na próbnej jeździe nie świeciła. Zobaczymy jak będzie dalej. Samochód ma dopiero 23000 km przebiegu. Wiem że wszystko jest możliwe, ale narazie nie będę sprawdzał zaślepek. ps: spryskałem też "kontaktem" styki elektryczne połaczenia czujnika.

    Samochody Elektryka i elektronika   01 Lip 2007 22:33 Odpowiedzi: 14    Wyświetleń: 58481
  • Telewizor SANYO CE 28CA1-P: nie działa, LED miga 3 razy.

    Sprawdż-wymień C655-22nF/100V i rezystor bezpiecznikowy R506 w zasilaniu wzmacniaczy wizyjnych.Włączenie TV i naciśnięcie przycisku M na klawiaturze lokalnej dezaktywuje tryb zabezpieczenia i wtedy można spokojnie sprawdzić oscyloskopem przebiegi.

    TV Serwis   22 Paź 2007 09:31 Odpowiedzi: 4    Wyświetleń: 1699
  • Passat B5 licznik - miganie podświetlenia prawego wyświet.

    Witam. Mam taki objaw, że na max podświetleniu (niebieskim) migają diody podświetlające wyświetlacz przebiegu. Jak zmniejszę siłę światła, to jest ok. Miganie dotyczy tylko prawego wyświetlacza. Zegar to motometer.

    Samochody Elektryka i elektronika   09 Wrz 2008 05:28 Odpowiedzi: 2    Wyświetleń: 1167
  • Elektronika s-mig 230 uszkodzona

    Witam Podłączę się do tematu, bo trafił do mnie taki migomat po "fachowcu od przeróbek". Jego modyfikacje posuneły się tak daleko że nie działa sterowanie podajnikiem drutu. Na płytce wlutowany był tranzystor npn BD245C, a według mnie powinien tam być jakiś pnp i to chyba darlington, bo jest sterowany bezpośrednio z NE555. Generatorek niby działa, ale...

    Elektro Maszyny i Urządzenia   13 Lis 2009 07:33 Odpowiedzi: 13    Wyświetleń: 10086
  • vw golf v 5 miga cisnienie oleju

    Tutaj znajdziesz Ale po co to golf 5 ile może mieć przebiegu. Zmierz ciśnienie oleju za czym coś innego zrobisz. Filtr oleju czasami nie zapchany. Trafiłem 2 z naszego najlepszego i zachwalanego Filtrona. NOWE . Złom.Po wymianie oleju nie chciała zgasnąć kontrolka od ciśnienia. Paliwowe to samo 4 diesle sie wróciły spadek mocy. Założony MAN i spoko.

    Samochody Mechanika   04 Sie 2009 18:29 Odpowiedzi: 8    Wyświetleń: 8485
  • Migający wyświetlacz po wymianie licznika Panda

    Witam po wymianie licznika z podstawowego na taki z obrotomierzem pojechałem do aso na osiowanie , ustawienie przebiegu. I problem jest taki że przebieg został ustawiony taki jak w starym liczniku , obrotomierz ustawiony do silnika ale ekran dalej mruga. w wydruku pisze :"Konfiguracja nie wsparta przez system" . I moje pytanie jest takie czy ktoś z...

    Samochody Elektryka i elektronika   02 Lut 2013 16:46 Odpowiedzi: 3    Wyświetleń: 4617
  • Kiano Pro 10 Dual - Migający ekran podczas pracy

    Skoro gwarancja jest "door2door", to pierwsze co musisz zrobić to wypełnić zgłoszenie reklamacji. Tam podasz swój dokładny adres oraz opis usterki. Następnie firma skontaktuje Cię z odpowiednim kurierem, który odbierze od Ciebie urządzenie. W trakcie wypełniania tego zgłoszenia, powinieneś zostać zapoznany ze wszystkimi warunkami pakowania itd. Czasami,...

    Tablety Czytniki Hardware   05 Gru 2013 23:01 Odpowiedzi: 7    Wyświetleń: 10902
  • Pralka Amica Ecotronic 800 - miga dioda "gotowa" ale normalnie pierze

    Jak w temacie. W instrukcji nie ma informacji na temat tego kodu. Dioda miga nieprzerwanie. Jeśli to coś pomoże, to mam ustawiony program "syntetyki", 30 st i pranie krótkie. Ten typ tak ma. Jeżeli program przebiega bez problemu, to nie należy się przejmować migającą lampką "gotowa".

    AGD Użytkowy   14 Gru 2013 00:41 Odpowiedzi: 2    Wyświetleń: 1872
  • VW Sharan 98r Nie działają zegary, wskaźniki, migająca kontrolka oleju

    Zgadzam sie z kolegą T5. Skoro mechanicy nie ogarniają tematu to prościej niż nowy wsad będzie tak: licznik na szrocie 50 pln i kumaty elektryk + 15 minut roboty. Chyba, że tym komputerem skasowałeś wiązki i bezpieczniki. W galaxy to chyba nawet immo nie masz w zegarach w tym roczniku, zostaje tylko przebieg. Zresztą jak immo jest to po założeniu licznika...

    Samochody Początkujący   14 Maj 2017 14:42 Odpowiedzi: 12    Wyświetleń: 10530
  • Windows 7 - migająca kreska - czarny ekran i migająca kreska w lewym górym rogu

    Witam, postanowiłem ostatnimi czasy postawić system całkowicie na nowo (zrobić po prostu kompletny format). Wszystko przebiegało pomyślnie aż do ostatniego kroku przy instalacji systemu (windows 7) był to krok o nazwie bodajże "Kończenie instalacji windows", po tym kroku komputer zawsze mi się resetował i ładnie włączał, ale nie tym razem. Teraz po...

    Komputery Hardware   14 Mar 2017 21:25 Odpowiedzi: 1    Wyświetleń: 10593
  • Skoda Fabia 1.4 MPI - Jak odpalić kiedy immo miga - mam pin

    No i jak ma to forum byc profesjonalne jak taka tu niewiedza. Oczywiscie ze jest mozliwosc "recznego" wpisania kodu w Skoda Fabia. Robi sie to przyciskiem w liczniku. Po wlaczeniu zaplonu trzeba jednoczesnie wcisnac i obrócic w prawo przycisk zerowania przebiegu. Zamiast przebiegu wyswietlaja sie wtedy zera i wpisujemy kod obracajac pokretlo w liczniku....

    Samochody Zabezpieczenia   26 Maj 2017 19:41 Odpowiedzi: 8    Wyświetleń: 23325
  • Whirlpool FWSL61251B - miga kontrolka prania długo pompuje błąd płukania

    Witam. Mam pytanie od wczoraj jestem posiadaczem pralki Whirlpool FWSL61251B i coś chyba jest nie tak. Na programie mieszane, pranie przebiega bez zarzutu natomiast na płukaniu podczas odpompowywania wody zaczyna piszczeć i migać kontrolka z ikoną prania. Jak kliknąłem pauzę i start, zaczęło płukać dalej, czy to normalne, że ta kontrolka tak miga? Z...

    AGD Użytkowy   14 Kwi 2022 07:33 Odpowiedzi: 3    Wyświetleń: 516
  • TV LG 43UK6400 - migająca czerwona dioda po aktualizacji i przywróceniu ustawień fabrycznych

    Witam Po ostatniej aktualizacji do najnowszej wersji softu tv włącza sie normalnie i działa jednak po starcie miga 3 razy czerwona dioda. Przywróciłem ustawienia fabryczne nic to nie dało. Wyłączyłem tryb czuwania bez efektu. Gdy odłączy sie go całkowicie od zasilania na chwile to pierwsze włączenie przebiega normalnie bez migania diody. Kolejne starty...

    Początkujący Naprawy   24 Lut 2023 17:05 Odpowiedzi: 3    Wyświetleń: 327
  • Fiat Ducato III 2013 2.3 Multijet - migający licznik i problemy z kierownicą

    W tym wypadku to ja widzę z tego co autor napisał odpięty moduł BT a co za tym idzie jego milczenie w sieci CAN tudzież niezgodność z konfiguracją zakodowanych z istniejącymi modułami w tej sieci co w FCA jest sygnalizowane migającym przebiegiem kilometrów. Pominę fakt, że przyczyn tego stanu rzeczy może być więcej jak np. niepoprawnie skorygowany przebieg...

    Samochody Początkujący   10 Mar 2024 19:11 Odpowiedzi: 19    Wyświetleń: 453
  • Migajaca dioda w rytm muzyki

    Ten układ mnie zainteresował , jesli moge zmieniać czułości tranzystora 1 to mozna z tego zrobić nawet wyświetlacz poprzez podpięcie innych do róznych pasm przewodzenia ! może ktoś powiedzieć coś więcej o tym schemacie ? Lub drugi takze banalny: "Prosty wskaźnik wartości szczytowych przebiegu m.cz., który może być zastosowany w przedwzmacniaczach i...

    Optoelektronika   05 Paź 2006 20:33 Odpowiedzi: 17    Wyświetleń: 50516
  • 3 migajace diody luxeon jak to zrobic

    Ja akurat mikrokontrolerów nie próbowałem, i mam wrażenie, że wcale to nie jest łątwe: po pierwsze, trzeba napisać program, po drugie trzeba go wpisać do mikrokontrolera (do czego pewnie jest potrzebny programator), i w sumie jest to dużo roboty. Jak chcemy mieć dużą dokładność, to w układzie bez kontrolera trzeba zadbać o symetrię trapeza - jeśli dające...

    Inne Serwis   24 Cze 2005 23:24 Odpowiedzi: 21    Wyświetleń: 2463
  • Acer Aspire 3680 migajacy kursor na czarnym ekranie.

    Wyjęcie baterii i instalacja bez niej nic nie dała. Natomiast po włozeniu dysku do innego laptopa instalacja przebiega bez zarzutu. Po włozeniu dysku zpowrotem po pierwszym restarcie ukazuje sie logo Windows i wyskakuje niebieski ekran błędu. Pozdrawiam

    Komputery Hardware   03 Lut 2008 22:57 Odpowiedzi: 10    Wyświetleń: 2706
  • Pralka indesit WIL 105 PL migająca dioda

    Witam, a czy oprócz migającej blokady miga też któraś z zielonej przebiegu programu?

    AGD Początkujący   31 Sty 2009 13:32 Odpowiedzi: 3    Wyświetleń: 4606
  • Pralka Ariston AVSD129 - Dziwne miganie LCD.

    Witam !!! Szukam pomocy w temacie - pralka Ariston AVSD129 . Sprzęt ma 7 lat szczerze nigdy się nie popsułą, aż do dziś :cry:. Gdy włącza się pralkę wyświetlacz dział prawidłowo, rozpoczyna pranie i po paru sekundach LCD zaczyna wariować miga jak stroboskop na dyskotece, pokazują się na nim cuda kreski ikonki funkcji, których nie używa. Tak do czasu...

    AGD Początkujący   23 Lip 2010 22:23 Odpowiedzi: 0    Wyświetleń: 2370
  • Migatronic FLEX330 / Elektrosta Elektropuls 330S

    "Odgrzewam" temat. Po prawie roku wróciłem do naprawy tej "nieszczęsnej" spawarki. Płyty sterujące były testowane w fabryce Migatronica w Danii, nie stwierdzili żadnej wady. Zmontowałem wszystko i podczas testów na opornicy spawalniczej stwierdziłem bardzo duże pulsacje napięcia na zasilaniu przetwornicy. Wzrastały one wraz ze wzrostem prądu spawania...

    Elektro Spawarki i Prostowniki   16 Sty 2012 21:04 Odpowiedzi: 7    Wyświetleń: 4756
  • Whirpool ARG 981/3 - miga dioda 6° i 7° i piszczy.

    Dostałem się do trybu serwisowego, migają wszystkie lampki, ale nie wiem co dalej. Pytanie jak ma wyglądać ten tryb serwisowy? Czy trzeba jeszcze coś przyciskać aby sprawdzić poszczególne elementy? Czy tryb serwisowy sam przebiega i pokarze błąd? Gdzie znajduje się NTC parownika?

    AGD Początkujący   01 Sie 2012 15:56 Odpowiedzi: 2    Wyświetleń: 1132
  • Mitsubishi canter fuso - Brak mocy w całym zakresie, czerwony check engine miga

    W Mitsubishi canter fuso zapchał się po raz kolejny DPF . Silnik przeszedł w tryb awaryjny i ograniczył moc w całym zakresie obrotów. Wyswietlila sie przy tym zolta kontrolcha check engine i DPF. Jaki czas samochód jezdził w trybie awaryjnym i po jakims czasie zaczela sie swiecic kontrolka czerwona migająca check engine W samochodzie usunięto DPF na...

    Samochody Elektryka i elektronika   10 Lis 2014 13:46 Odpowiedzi: 10    Wyświetleń: 10632
  • TV Telefunken D32H127N2 miga LED na panelu w nieskończoność a TV nie startuje

    Witam wszystkich proszę o pomoc bo walczę z TV i brakuje mi pomysłów TV Telefunken model TV: D32H127N2 Zasilacz: 17IPS19-5 Formater: 17MB82-1a LCD: VES315WNDB-01 Po podaniu zasilania TV jest kompletnie martwy, żadna diodka nie mignie ani głośniczek nie pyknie. Płyta przywiera napięcie 3,3V stand-by a dokładnie noga 57 procesora ARM opis nogi „AVDD33_AADC”...

    TV Początkujący   24 Sie 2018 19:53 Odpowiedzi: 7    Wyświetleń: 6705
  • Prośba o pomoc miga kontrolka oleju - Nissan Atleon

    Witam posiadam nissana atleona z 2003r 35.120 i mam parę problemów 1 migająca kontrolka od oleju na desce nie widać przebiegu stan oleju jest w porządku czujniki sprawdzone i miga 2 migający stop podczas jazdy raz świeci raz nie i wykrzyknik czy to może być od serwa

    Samochody Początkujący   22 Mar 2017 19:46 Odpowiedzi: 3    Wyświetleń: 4404
  • UART w HDD - migawka elektroda.pl

    Dziękuję za ciekawe uzupełnienie. Zastanawiam się czy taki interfejs posiadają dyski SAS i czy posiadały go dyski SCSI. Mam w planach zajrzeć do jakiegoś dysku SSD i zobaczyć czy na płytce wewnątrz obudowy znajduje się interfejs debugujący, który zdradzi być może trochę więcej o wewnętrznym życiu dysku SSD a w szczególności jak pracuje wbudowany GarbageCollector,...

    Wideoporadniki   04 Lut 2022 18:36 Odpowiedzi: 4    Wyświetleń: 19509
  • Joystick VSI wózka HP-6 - miga 8 diód

    Hej Poproszono mnie o zerknięcie do joysticka, bo nikt w okolicy nie chce się podjąć naprawy. Joystick wózka jak w temacie, usterka polega na miganiu 8 diód po włączeniu. Ładowanie przebiega normalnie. Usterka objawiła się nagle, po naładowaniu wózek już się nie uruchomił. Producent udostępnia kody błędów, ale jedyna wskazówka to "replace VSI controller"....

    Sprzęt Medyczny   22 Kwi 2017 17:19 Odpowiedzi: 2    Wyświetleń: 3024
  • Opel Corsa D 1.2 85KM 2012r. - Miga Check Engine,czasem świeci caly czas,szarpie

    Witam, mam problem z Oplem Corsą D 1.2 85KM, 63Kw z 2012 roku, czasami miga check engine, teraz zaświecił i nie gaśnie, poza tym szarpie podczas jazdy. Dodam że samochód nie wyjeżdżony, kupiony przez moją mamę w salonie jako nowy, przebieg 50000km , bez gazu. Był wymieniany czujnik temperatury, poza tym mechanik podpinał pod komputer ale stwierdził...

    Samochody Początkujący   27 Gru 2018 09:03 Odpowiedzi: 10    Wyświetleń: 12018
  • Zasilacz impulsowy S-300-12 12V 25A, miga, nie daje pełnego amperażu

    No i co z tym zasilaczem z #1? Zamieściłeś zdjęcie poglądowe, z którego nic nie wynika. Konstrukcja przejrzysta, elementy przewlekane - pół godziny z kartką i ołówkiem i masz jakiś wstępny zarys schematu. Wyznaczasz punkty pomiarowe i robisz pomiary. Naprawa nie polega na wymianie "na pałę" elementów i sprawdzaniu czy "hula". Masz oscyloskop. Jeśli...

    Początkujący Naprawy   02 Sty 2019 21:29 Odpowiedzi: 6    Wyświetleń: 1716
  • Cykl miganie żarówki przy ściemniaczu 230V z mosfetem: kwestia sygnału PWM?

    Częstotliwość PWM zmieniałem od 100-2000khz. Od 100Hz czy od 100kHz? W takim prostym układzie gdzie fototranzystor pracuje z dużą rezystancją obciążenia i pojemność wejściowa MOSFETa jest przeładowywana przez tą dużą rezystancję szacuję że przełączanie może trwać i 100us więc raczej powyżej kilku kHz na wyjściu nie będzie PWMa. Żarówka załącza się...

    Początkujący Elektronicy   22 Gru 2020 17:50 Odpowiedzi: 5    Wyświetleń: 930
  • Electrolux EWW1697MDW - Cykl suszenia zatrzymuje się po 4 minutach, migający przycisk START/PAUZA

    Witam, Mam problem z pralko-suszarką Electrolux EWW1697MDW. Pranie przebiega normalnie i tu nie widzę, żadnych problemów. Problem zaczyna się przy cyklu suszenia. Po około 4 min suszarka zatrzymuje się. Zaczyna pikać (3 piknięcia) i miga przycisk START/PAUZA. Można go puścić raz jeszcze ale po chwili jest to samo. Nie ważne czy włączę samo suszenia...

    AGD Początkujący   19 Paź 2021 13:59 Odpowiedzi: 3    Wyświetleń: 645
  • Spawarka MIGATRONIC MPS 501W nie działa

    Wiesz jak działa takie urządzenie ? Potrafisz robić pomiary elektryczne ? Rozróżniasz elementy elektroniczne i potrafisz czytać schematy ? Potrafisz i masz oscyloskop dla sprawdzenia przebiegów ? Potrafisz lutować ? Wiesz jakie występują niebezpieczne napięcia w tym urządzenia i w którym miejscu możesz się ich spodziewać ? Jeżeli powyższych nie spełniasz...

    Elektro Spawarki i Prostowniki   02 Sie 2022 19:48 Odpowiedzi: 3    Wyświetleń: 333
  • Migające kontrolki dopływu wody i suszenie w zmywarce Bosch - naczynia pozostają brudne

    Witam, bardzo proszę o pomoc w miarę samodzielnej naprawie awarii zmywarki tj miga kontrolka dopływu wody po zakończonym calym procesie zmywania. Skutkuje to tym że naczynia są niestety dalej brudne. Przeczyszczone filtry itp. Co ciekawe miga do tego rowniez kontrolka przebiegu programu "suszenie" a otwierając zmywarkę nie ma charakterystycznego parowania....

    AGD Początkujący   09 Maj 2023 19:40 Odpowiedzi: 0    Wyświetleń: 285
  • Uklad nadawczy - "migacz LED"

    1. Źródło częściowo też zmienia swoją wydajność w zależności od napięcia na kolektorze tranzystora Q3 (które może się zmieniać od. 1.8V do Ub(Q1)-0.7V. Dla podanych wartości elementów: dla 1.8V prąd kolektora Q3=29.17 mA dla 3.3V prąd kolektora Q3=29.27 mA oczywiście w tym układzie ma to znaczenie 3-rzędne. 3) Jaki jest poziom przelaczania lewego tranzystora...

    Początkujący Elektronicy   15 Sie 2005 07:50 Odpowiedzi: 6    Wyświetleń: 1463
  • Modernizacja migających diód led - Disco Litez

    mysle ze lepszym rozwiazaniem byloby zastosowanie np triakow dzialaja o wiele szybciej nie halasuja nie iskrza i o wiele bardziej nadaja sie do przełaczania tak szybkich przebiegow dobrze byloby zastosowac galwanieczna separacje portu LPT np poprzez zastosowanie transoptorow koszt niewielki a bylaby pewnosc ze nie uwalisz portu LPT!!!

    Optoelektronika   11 Paź 2005 14:37 Odpowiedzi: 3    Wyświetleń: 1223
  • kyocera 1020D.. migaja diody!!

    Napisz jaki przebieg ma drukarka. To jest błąd przepełnionego pojemnika na zużyty toner.

    Drukarki, ksero Profesjonalne   30 Lis 2006 09:42 Odpowiedzi: 5    Wyświetleń: 1962
  • Stroboskop Dyskotekowy sterowany przez migacz dyskotekowy

    Witam Twój projekt jest b.dobry. szczegolnie podoba mi sie wykorzystanie lampy od Fiata:D Jestem w trakcie budowy stroboskopu trój lampowego sterowanego przebiegiem prostokątnym z popularnego NE555. Wszystkim chyba znany jest owy bardzo popularny projekt. Mam mały problem nie wiem czym mogę zastąpić diody 1N4149 , oraz pytanie co do BZX85v zener 3.9V...

    DIY Konstrukcje   28 Cze 2007 16:43 Odpowiedzi: 17    Wyświetleń: 8332
  • Migajaca kontrolka oleju Escort zetec 1.6

    Witam mam taki mały problemik zapala mi sie kontrolka smarowania silnika silnik jest raczej nagrzany ciężko to powiedzieć bo raz sie pali na nagrzanym innym razem sie pali na zimnym dolałem trochę oleju do silnika i kontrolka zgasła lecz po 3 minutach pracy silnika zapaliła sie i paliła tak na pół gwizdka czy może być to czujnik ciśnienia oleju i z...

    Samochody Początkujący   23 Sty 2009 01:33 Odpowiedzi: 5    Wyświetleń: 2629
  • Nikon D80 - padająca migawka (chyba)

    Objawy wygladaja na migawke. Zaczyna sie kleic ,przebieg spory...

    Aparaty Fotograficzne Serwis   20 Cze 2009 08:34 Odpowiedzi: 5    Wyświetleń: 7062
  • Drukarka Color Laserjet 2550 migaja 4 diody od tonerów

    Proszę o pomoc ! Drukarka HP CLJ 2550 drukowała sobie idealnie i nagle karuzela zaczęła chodzić jak traktor . Nie ustawia się w odpowiedniej pozycji . Zaznaczam , że tonery nie są zatarte i nawet bez nich karuzela rzęzi i strzela podczas obrotu . Domyślam się , że to napęd ale trochę to dziwne bo drukarka ma znikomy przebieg (5000 stron kolor ). Czy...

    Drukarki, ksero Początkujący   13 Lip 2009 21:32 Odpowiedzi: 8    Wyświetleń: 2889
  • Canon EOS 20D - migawka i ERR99

    Soft to moze i jest ale na Zytniej w Wawie... Slabe przecieki z tamtad sa. A jaki przebieg migawki? I czy jak ustawiasz na czas otwarcia zalozmy 5s (M) to tez klapie czesto czy co 5s?

    Aparaty Fotograficzne Serwis   26 Mar 2010 01:00 Odpowiedzi: 9    Wyświetleń: 4820
  • Odczytaj przebieg canona 40D, 450D, 50D, 500D, 5D II, 7D

    Przesyłam prosty sposób na sprawdzenie przebiegu migawki, ilości klapnięć lustra czy jak tam to jeszcze można nazwać w EOSach Canona. 1. Ściągnij ze strony: plik 40dshuttercount.zip 2. rozpakuj 3. przenieś do katalogu gdzie jest zainstalowane Eos Utlity. 4. Podłącz aparat, zamknij Eos Utility (jeśli się uruchomił) 5. odpal 40dshuttercount 6. Przebieg...

    Aparaty Fotograficzne Serwis   26 Gru 2010 22:13 Odpowiedzi: 4    Wyświetleń: 15855
  • Migacz dużej mocy - prostszy niż najprostszy

    Dioda prawie nie reaguje na zmianę prądu zmianą częstotliwości. Jest tam w środku jakiś scalony oscylator RC i zestaw przerzutników D w celu podziału częstotliwośći. Pracę oscylatora widać oscyloskopem w postaci fluktuacji napięcia na rezystorze. Odkładające się napięcie jest zaszumione przebiegiem wysokiej częstotliwości.

    DIY Poczekalnia   08 Lip 2013 08:28 Odpowiedzi: 53    Wyświetleń: 25750
  • VW Golf III 1.8 90KM migajaca lampka i piszczenie

    Ośmielę się przedstawić swoje zdanie na temat problemu kolegi z silnikiem ABS golf III. Jeżeli ktoś stwierdził że posypał Ci się wał i panewki, i zapewne na 100% wałek rozrządu bo przyciera się najszybciej. A jak szedł Ci płyn do oleju to stopień tworzenia filmu olejowego a tym samym smarowność była znikoma i tym samym "ugotowałeś" narządy wewnętrzne...

    Samochody Początkujący   13 Maj 2013 22:41 Odpowiedzi: 50    Wyświetleń: 42749
  • MB W210 96r migaja lampki,brak komunikacji CAN silnika z ABS,zegary,immo

    Dwie linie CAN nie sa zwarte ani nie maja przerwy. Pzostale sterowniki z CAN zostaly odpiete-wyeliminowane zaklocenia CAN. Opor wewnetrzny IMMO i ECU silnika po 60 Ohm (tak opisuje w naprawach MB). IMMO zasilane jest ok,+15 i +30. ECU silnika wlozone inne bez IMMO-silnik zapala. Przebiegi oscyloskopowe na dwoch liniach CAN sa ok.

    Samochody Elektryka i elektronika   12 Kwi 2011 20:01 Odpowiedzi: 6    Wyświetleń: 2636
  • Migająca kontrolka świec żarowych VW Sharan 1,9 TDi PD 2001Autom

    Witam! W Sharanie j.w., który mam od ponad póltora roku zaraz po starcie auta miga kontrolka świec żarowych ( sprężynka) . Po wyłaczniu i ponownym odpaleniu - kontrolka już nie mryga. Pojawianie się "mrygania" nie ma nic wspólnego ze stanem silnika (ciepły / zimny). - Światła stopu sprawdzono - wymieniono czujnik stopu przy hamulcu - wymieniono świece...

    Samochody Elektryka i elektronika   02 Maj 2011 20:25 Odpowiedzi: 15    Wyświetleń: 22343
  • Migajaca lampka immobilizera w Focusie 1.8 TDCI rok 2005 - silnik nie kreci

    Witam. Kupilem Focusa z 2005 w dieslu 1.8 tdci z malym przebiegiem,uderzony lekko w bok. Auto palilo,jezdzilo bez zarzutow. Po malowaniu jechalem nim z malarni do garazu,gdzie tylko zamontowalem elementy tapicerki,podpialem kostki elektryczne w drzwiach i tylnej klapie oraz wpialem nowy fotel ( w tamtym strzelil airbag). Od tamtej chwili silnik w ogole...

    Samochody Zabezpieczenia   26 Sty 2012 21:38 Odpowiedzi: 30    Wyświetleń: 21701
  • Zasilanie do migacza na 2 diody i schemat

    To odmiana multiwibratora astabilnego, który w podstawowej wersji wygląda tak: Układ ten posada dziesiątki odmian. Zgadza się, rezystory kolektorowe ustalają prąd ledów, rezystory bazowe wraz z kondensatorami ustalają częstotliwość. Rezystory bazowe nie powinny być większe od iloczynu wzmocnienia prądowego i rezystancji rezystorów kolektorowych - aby...

    Początkujący Naprawy   19 Lut 2012 12:23 Odpowiedzi: 5    Wyświetleń: 3720
  • Atmega8 - przyczyny nie migania diody LED

    Jak zwykle typowa pyskówka dzięki uprzejmości kilku specyficznym osobnikom, jak to na polskich forach. Tymczasem panie autor zamiast słuchać pyskówek a pewnie nie masz pod ręką oscyloskopu to pokażę ci coś, pomimo to że niektóre typy mówią że to co piszę i pokazuję to "lipne" - no ale wiadomo taki typ to prawdziwy śpec a ja się tylko uczę. Nie mniej...

    Mikrokontrolery Początkujący   03 Sty 2013 19:26 Odpowiedzi: 55    Wyświetleń: 8736
  • VW T5 R5 2,5TDI - migająca kontrolka swiecy żarowej potencjometr egr

    Witam Panowie moze podpowiecie co może być powodem problemu ,myslałem ze zabrudzenie egr-u ale czyszczenie pomogło na 400 km a po tym dystansie prawie cały czas miga ta kontrolka swiec zarowych i słychać jak przełącza sie zawór egr .Nie mam instrujcji serwisowych do tego modelu aby porównać wartości rzeczywiste z zadanymi . załączam bład 001032 - Potencjometr...

    Samochody Elektryka i elektronika   04 Mar 2013 17:26 Odpowiedzi: 3    Wyświetleń: 28704
  • Skoda Fabia SDI 1.9 '00 - Migająca lampka samochodu z kluczykiem.

    Bo trzeba precyzować, czy miga żółta kontrolka samochodu z kluczem (immo), czy symbol klucza (płasko-oczkowego) na wyświetlaczu przebiegu (przegląd)

    Samochody Początkujący   15 Wrz 2014 18:42 Odpowiedzi: 28    Wyświetleń: 27864
  • Sony - Sprawdzenie przebiegu aparatu

    Poniżej za sonyalfarumors link - gdzie możemy sprawdzić przebieg migawki uploadująć zdjęcie z aparatu - Uwaga !!! nie działa na wszystkich modelach: Miłego sprawdzania. Pozdrawiam

    Aparaty Fotograficzne Serwis   27 Paź 2013 00:27 Odpowiedzi: 3    Wyświetleń: 18603
  • SONY DSC-HX1 - Nie można robić zdjęć - zacięta migawka

    Raczej nie potwierdzam żeby usterka migawki w tym modelu Sony często występowała, chyba że po jakimś długim przebiegu bo ostatecznie jest to migawka mechaniczna. Twój aparat trzeba będzie rozebrać i zlokalizować usterkę, wymuszanie pracy migawki metodą włączania zdjęć seryjnych jeszcze bardziej obciąża jej elementy i niedługo może całkowicie się rozsypać.

    Aparaty Fotograficzne Serwis   22 Gru 2014 13:33 Odpowiedzi: 2    Wyświetleń: 2211
  • Re: Migajaca strzalka LED - konstrukcja?

    Znalazlem rowniez cos takiego - lM3914V LM3914. znalazlem rowniez ze da sie sterowac potenciometrem ile ledow ma sie zapalic ale czy da sie zmusic ten uklad by samozutnie zapalal je i gasil wszystkie po zalaczeniu ostatniej ? Skleć generator przebiegu piłokształtnego a uzyskasz potrzebny efekt. BTW - popraw polskie literki bo ich brak.

    Początkujący Elektronicy   22 Sie 2015 20:31 Odpowiedzi: 8    Wyświetleń: 1362
  • Nikon D60 - Błąd. Ponownie naciśnij spust migawki

    Taki komunikat w tym modelu Nikona oznacza z reguły konieczność wymiany silnika obsługującego mechanizmy migawki i lustra. Jednak biorąc pod uwagę niewielki przebieg migawki raczej można to wyeliminować i prawdopodobnie przyczyna jest w module mechanicznym realizującym zadaną wartość przysłony albo są to uszkodzone elementy elektroniki w bloku zasilacza....

    Aparaty Fotograficzne Serwis   14 Mar 2016 08:30 Odpowiedzi: 7    Wyświetleń: 13506
  • Opel zafira 1.9cdti dth 150 km migajace kontrolki abs

    I OTO WSZYSTKIE BLEDY: U2105 - CAN-BUS - brak komunikacji z ECM (modulem kontroli silnika) (00) - Nie wystêpuje U2100 - Brak komunikacji z magistral¹ CAN (du¿a szybkoœæ) P0115 - Sygnal temperatury cieczy chlodzacej, poza wymaganym zakresem (71) - Nie wystêpuje P0550 - Sygna³ liczn. przebiegu poza zakresem (71) - Obecny...

    Samochody Początkujący   28 Lut 2016 09:05 Odpowiedzi: 3    Wyświetleń: 1638
  • Peugeot 307 2.0 hdi - Żarzące się kontrolki: lewy migacz, silnik i ...

    Tylko jest jedną zasada przy wymianie licznika w waszym przypadku najlepiej by było znać przebieg zakupionego licznika, no i diesel a Bena to też różnica oki Dodano po 1 Kupisz z mniejszym przebiegiem możesz śmiało podłączyć w drugą stronę km większe przepiszą się do bsi

    Samochody Elektryka i elektronika   26 Mar 2016 10:33 Odpowiedzi: 6    Wyświetleń: 6855
  • Skoda Fabia 1 1.9 TDI - Migajace wszystkie kontrolki deski / zegarow

    Jeśli podmienie pamieci w liczniku (taki sam) powinno zaskoczyć bez zadnych dodatkowyh dopasowań vagiem dobrze rozumiem ? Jeśli wsad pamięci w Twoim liczniku nie jest uszkodzony to tak. Przebieg też będzie z Twojego licznika. Z ciekawosci podpinajac stary (880A innny model) licznik z SDI coś mogę nabroić ? Coś zablowować ? Namieszać? Jeśli licznik...

    Samochody Początkujący   12 Cze 2016 23:21 Odpowiedzi: 24    Wyświetleń: 7506
  • Lustrzanka nie robi zdjęć. - Aparat Sony a560, po naciśnięciu spustu migawki ni

    Witam. Jaki ma przebieg migawki aparatu? A jak wygląda nagrywanie filmów?

    Aparaty Fotograficzne Serwis   09 Maj 2018 15:45 Odpowiedzi: 3    Wyświetleń: 1428
  • Co oznacza komunikat 5ICH w Audi A4 B5 1.9 TDI i migające zegary?

    Witam. Mam problem. Posiadam Audi A4 B5 2001 kombi 1,9 TDI. Na desce rozdzielczej w miejscy dziennego przebiegu km wyświetla mi się komunikat 5ICH.Czasem miga podświetlenie zegarów. Byłam u mechanika i po podpięciu do komputera nie byli w stanie skasować komunikatu. Pojechałam do elektromechanika jednego, drugiego i żaden nie wie co to jest i nie chcą...

    Samochody Początkujący   26 Lut 2020 06:24 Odpowiedzi: 2    Wyświetleń: 606
  • Pomiar czasu otwarcia migawki aparatu fotograficznego - potrzebne rozwiązania

    No ok ale wtedy to chyba matrycę fotokomórek musisz mieć, 6 czy nawet 9. Cztery w narożnikach kadru i jedna centralnie. To powinno wystarczyć zarówno dla migawek szczelinowych z poziomym, jak i z pionowym przebiegiem. I to by się zgadzało bo jak pamiętam w analogu miałem chyba max 1/125 czas otwarcia, centralne są szybsze, w Zenicie to chyba 1/500...

    Mikrokontrolery AVR   23 Maj 2022 16:57 Odpowiedzi: 22    Wyświetleń: 1176
  • [Audi A4 B5 FL] - Miga oświetlenie wnetrza po otwarciu drzwi pasażera

    Witam, opiszę co było u mnie, chociaż auto już dawno sprzedane, mianowicie, okazało się po wyjęciu zegarow że jeden pin w zegarach jest zagięty, ktoś wcześniej musiał demontować zegary i przy wpinaniu wtyczki wygiął pin. Naprostowanie pinu rozwiązało problem migającego oświetlenia. Auto było swieżo kupione i chyba handlarz tam grzebał, może coś z przebiegiem,...

    Samochody Elektryka i elektronika   06 Lis 2022 12:19 Odpowiedzi: 12    Wyświetleń: 5745
  • Canon 2000d liczba otwarcia migawki jak sprawdzić?

    Witam Jak sprawdzić przebieg migawki w Canon 2000d

    Aparaty Fotograficzne Serwis   31 Sty 2023 22:52 Odpowiedzi: 1    Wyświetleń: 897
  • Golf 3 1.9 migające świece żarowe, nie odpala

    Chciałbym się podpiąć, widzę, że problem nie został rozwiązany. W moim golfie od dwóch dni, podczas mrozu -10 po przekręceniu kluczyka kontrolka świec zamiast świecić się jakiś czas, od razu miga ok. 5 sek. po czym gaśnie. Samochód to Golf3 silnik 1.9D 1Y czyli bez komputera, jest OBD ale w vagcom odczytać można tylko obroty i chyba temp. , generalnie...

    Samochody Początkujący   08 Sty 2024 16:18 Odpowiedzi: 4    Wyświetleń: 2679
  • Samsung CW-25C33N miga dioda

    Podobny temat przerabiam z monitorem. Sugeruję: odłączyć zasilanie stopnia końcowego linii od przetwornicy i obciążyć żarówką 230V/60W. Pomierzyć napięcia wychodzące z przetwornicy. Druga możliwość, to uszkodzenie procesora lub pamięci (właśnie ja tak mam). Można sprawdzić oscyloskopem przebiegi. U mnie pojawia się cyklicznie sygnał RESET na procesorze,...

    TV Serwis   14 Cze 2005 10:18 Odpowiedzi: 7    Wyświetleń: 6039
  • KYOCERA FS1000+ - miga dioda

    Witam Czy miga tylko ta jedna dioda....czy naprzemiennie z jakas inna? Miga szybko....czy wolno...( wolno miga jak jest drukarka uspiona) Generalnie jesli miga ta dioda....to masz zaciecia papieru....wiem....wiem....papieru pewnie nie ma ;) ...ale prawdopodobnie zawiesil sie jakis czujnik...lub sie zawiesza....napisz czy kartka czasami nie staje gdzies?...a...

    Drukarki, ksero Profesjonalne   06 Gru 2005 09:19 Odpowiedzi: 12    Wyświetleń: 2669
  • Migające naprzemian światła samochodowe

    Podłączenia nóżek zasilania do układów scalonych 4013 i 40106. Przeważnie układy CMOS w obudowie 14 nóżkowej, mają: - plus zasilania podłączony do nózki 14 - masę (czyli minus) podłączoną do nóżki 7 (oczywiście są odstępstwa) Układ US1 4013 zawiera dwa przerzutniki D. Układ US2 40106 zawiera 6 inwerterów z wejściem progowym Schmitta (zależnie od kierunku...

    Początkujący Elektronicy   03 Wrz 2007 11:10 Odpowiedzi: 17    Wyświetleń: 2197
  • HP 1315 - E, migające diody "karetka się zatrzymała&

    Tusze walą w części boczne drukarki, nie zatrzymują się na srodku, jak to poprzednio bywało, przy otwarciu klapy. Są to standardowe objawy wskazujące że czujnik umieszczony w karetce nie zczytuje kresek znajdujących się na enkoderze. Enkoder to pasek z kreskami który przebiega za karetką.Albo spadł z zaczepu albo wypadł z czujnika albo jest zabrudzony...

    Drukarki, ksero Profesjonalne   19 Lis 2007 16:47 Odpowiedzi: 14    Wyświetleń: 8837
  • Humax 5400 - migające 4 kropki na wyświetlaczu

    Humax 5400 po załączeniu wyłącznikiem na wyswietlaczu mam " H2.08" nastepnie "rA" pózniej pulsujace cztery kropki. Wgrywanie nowego oprogramowania przebiega prawidłowo i na koniec jest napis END i po wyłączeniu i załączeniu tuner pokazuje na wyświetlaczu to co opiasłem na początku. Obojętnie jakie oprogramowanie się wgra to efekt jest ten sam. Czy miał...

    SAT Serwis   18 Mar 2008 14:30 Odpowiedzi: 3    Wyświetleń: 1176
  • Fiat Panda miga CHECK ENGINE ale błędów nie ma!

    Bo KTSem robilem juz adaptacje i nic nie pomoglo. Niby sam proces adaptacji przebiegl pomyslnie, ale problem nie ustapil.

    Samochody Elektryka i elektronika   26 Mar 2009 14:56 Odpowiedzi: 18    Wyświetleń: 18399
  • Przywrócony system nie chce się uruchomić - migający kursor

    Witam Posiadam wspomnianego laptopa ale mam problem z uruchomieniem systemu. Mianowicie system instaluje z płyt recovery jest to XP TE, cały proces instalacji przebiega poprawnie lecz po uruchomieniu komputera widoczny jest tylko czarny ekran z migającym kursorem. Po ponownym uruchomieniu pojawia się ekran z wyborem uruchomienia w trybie awaryjnym,...

    Software serwis   03 Kwi 2009 21:57 Odpowiedzi: 0    Wyświetleń: 2657
  • Chrysler Grand Voyager 2,5 TD - miga kontrolka oleju

    Witam. Wczoraj wymieniłem olej i filtr oleju w aucie takim jak w temacie 2,5 TD z roku 1997 z przebiegiem 242000km. Niestety nie wiem jaki był wcześniej wlany olej (wiem tylko że Mobil 1) i w stacji obsługi doradzili mi olej Mobil 1 Delvac Super 1300 15W40 mineralny. Ze względu na spory przebieg auta nie ryzykowałem syntetyka lub półsyntetyka. Wszystko...

    Samochody Elektryka i elektronika   12 Cze 2014 12:10 Odpowiedzi: 11    Wyświetleń: 13251
  • Migające serduszka na multiwibratorze

    No ścieżki troch e pogrub gdzieś o drugie tyle, tylko w miejscach gdzie ścieżka przebiega blisko punktu lutowniczego powiększaj ostrożnie bo jak będzie za blisko to potem przy lutowaniu może byś trudno.

    Początkujący Elektronicy   18 Lis 2009 18:29 Odpowiedzi: 28    Wyświetleń: 3427