REKLAMA

modulator mezon

Znaleziono około 31 wyników dla: modulator mezon
  • Modulator MTV 61 schemat na dwa TV

    Szukałem podobnego tematu lecz nie znalazłem, szukałem również w google na temat modulatora Modulator mezon MTV 61 [url=]Link jakieś instrukcji czy schematu połączenia lecz nie znalazłem. Próbowałem podłączyć do modulatora sygnał antenowy następnie z modulatora wyprowadzić dwa sygnały na dwa TV lecz obraz był tylko na jednym TV. Wie ktoś jak to podłączyć?...

    RTV Użytkowy   18 Cze 2013 16:41 Odpowiedzi: 15    Wyświetleń: 4143
  • Modulator mezon-przetwornica , załączam schemat

    Problem z tranzystorem 13001 był już poruszany , ale zmarnowałem już dwie żarówki i znalazłem tylko tranzystory 13002 co po wstawieniu skutkuje poważnym zawyżeniem napięcia wyjściowego z 30v do 50v . Zamieszczam schemat tej przetwornicy i prośba o pomoc , w jaki sposób najlepiej zadziałać żeby to napięcie wyjściowe zmniejszyć

    Inne Serwis   03 Lis 2008 13:16 Odpowiedzi: 2    Wyświetleń: 2698
  • REKLAMA
  • Modulator wpięty w telewizję kablową w domu - śnieży.

    Witam, Mam w mieszkaniu instalację TV kablowej Aster, oraz sygnał satelitarny. Kablówka jest zorszczepiona na 4 telewizory. Kupiłem ostatnio modulator Mezon MTV30 ( Proszę poprawnie wstawić plik graficzny. Tu jest informacja o tym, jak to zrobić: /c2h5oh/

    Radiotechnika Początkujący   19 Wrz 2008 10:56 Odpowiedzi: 5    Wyświetleń: 4054
  • REKLAMA
  • brak połączenia starego telewizora przez modulator z dekoderem

    Witajcie, Jestem laikiem w tej dziedzinie, dlatego mam wielką nadzieję że umiecie mi pomóc. Posiadam stary telewizor (oczywiście brak bezpośredniego połączenia z dekoderem) marki Gokando. Znajomy elektryk, z którym obecnie nie mam kontaktu polecił mi modulator marki Mezon. Dekoder dvbt06 mam firmy manta. Po podłączeniu wszystkich kabli do prawidłowych...

    Połączenia urządzeń   05 Paź 2013 22:45 Odpowiedzi: 6    Wyświetleń: 2235
  • Ustawianie kanalów w modulatorze mtv31 mezon

    Witam serdecznie Panie i Panowie mam pytanie: nr.1 Czy ktoś posiada może dokładną instrukcję ustawiania w/w modulatorze kanałów za pomocą zworek ? nr.2 Czy można w dany modulator łączyć kaskadowo, a jak tak no na co zwracać uwagę podczas ustawiania, muszę połączyć 3 sygnału AV ? pozdrawiam serdecznie Jaro8448

    Połączenia urządzeń   26 Sie 2011 02:36 Odpowiedzi: 1    Wyświetleń: 2107
  • Problem z modulatorem Mezon MTV 04

    Witam. Kupiłem modulator mezon MTV 04 gdy podłączam do niego aparat cyfrowy to telewizor wyszukuje obraz z aparatu i wszystko jest ok ale jak odłączę aparat i podłącze tuner satelitarny do modulatora to obraz już znika i nie mogę go wyszukać. w opisie modulatora jest napisane sygnał wyjściowy w.cz. na 4 kanale VHF. Co mam zrobić żeby uzyskać sygnał...

    Inne Serwis   28 Maj 2010 20:15 Odpowiedzi: 13    Wyświetleń: 2261
  • Modulator MEZON RF-7000 jak podłączyć 11szt. do jednej anteny

    Witam, posiadam takie modulatory [url=]MODULATOR (11sztuk) i muszę je podłączyć do instalacji antenowej. Instalacja antenowa składa się z dwóch multiswitchy i jednego rozgałęźnika do multiswitcha, są trzy anteny (satelitsa, naziemna i radiowa) naziemna i radiowa jest spięta razem przez sumator i wchodzi jednym kablem do multiswitcha i teraz jest moje...

    Instalacje antenowe archiwum   07 Lut 2013 15:44 Odpowiedzi: 6    Wyświetleń: 2787
  • kłopot z modulatorem i kamerą

    Witam Mam pewien kłopot, otóż chciałem do swojej instalacji tv podłączyć kamerę (w celu obserwacji pokoju dziecięcego za pomocą telewizora). Zaopatrzyłem się w modulator MEZON MTV32. Na początku podłączyłem się próbnie tzn. podłączyłem kamerę do modulatora i z modulatora kawałkiem kabla antenowego do telewizora - wyszukałem kanał - wszystko chodziło...

    RTV Użytkowy   07 Paź 2013 18:15 Odpowiedzi: 6    Wyświetleń: 1575
  • REKLAMA
  • dekoder n-ki i modulator - brak dźwięku!

    Ok! Mój modulator to model MTV55 firmy Mezon. Rzeczywiście na podnośnej fonii 6,5MHz dźwięk jest przesyłany przez modulator, ale problem polega na tym, że aby go usłyszeć trzeba poziom głośności w telewizorze zwiększyć prawie na maximum i wtedy oczywiście pojawiają się duże szumy. To w zasadzie dyskwalifikuje takie rozwiązanie... Czy da się zwiększyć...

    SAT Serwis   26 Mar 2007 15:16 Odpowiedzi: 9    Wyświetleń: 3936
  • REKLAMA
  • Modulator VHF na kanały telewizyjne 1,2,3 potrzebny schemat

    Witam! Tak tylko ten ukladzik kosztuje od 30 do 40 zł a firma Mezon sprzedaje bardzo rozbudowany modulator z wyśwtlaczem cyfrowym i pracą od kanału 1 do 60 plus kanały kablowe za 60 zł . Ja mimo wszystko chcę wykonać taki modulator samemu, może jednak nie na tym scalaku! Pozdrawiam, Gienek.

    Radiotechnika Serwis   04 Lip 2008 21:19 Odpowiedzi: 5    Wyświetleń: 3623
  • Jaki miernik Satlink czy Mezon

    Pomiar analogu będzie wykonywany przez wiele lat. Obecnie ponad 90% hoteli, pensjonatów itp pracuje w analogu. Maja stacje czołowe z modulatorami analogowymi. Do czasu wymiany TV nie opłaca się przechodzić na DVB-T. Jeżeli chcesz wydać ponad 1000 zł warto podjechać i obejrzeć miernik jak pracuje i czy odpowiada tobie. Jak się kupuje w ciemno to potem...

    Instalacje antenowe archiwum   23 Cze 2013 08:34 Odpowiedzi: 35    Wyświetleń: 11895
  • Tania stacja czołowa na tunerach i modulatorach ...

    Witam Serdecznie! Stworzyłem dla klienta prostą, tanią i podejrzewam problemową stacje czołową :) Mam 8 tunerów linboxa spiętych na splitterze kart pod cyfrę, każdy tuner podpięty jest pod modulator mezona, w celu wrzucenia w pasmo tv naziemnej... I tu pojawia się problem... Przy podpinaniu przez przelotki modulatora już po 4 modulatorze obraz robi...

    SAT Serwis   20 Cze 2011 20:45 Odpowiedzi: 5    Wyświetleń: 1769
  • syganł SAT + 2x modulator + DVBT

    Trochę na rysunku pokręciłeś wejścia i wyjścia tego Mezona, ale mam nadzieję, że w "realu" jest to połączone poprawnie. Jakiś info na temat tego modulatora z wyświetlaczem...? Domyślam się że budżet jest ograniczony. Multiswich nie jest złym pomysłem, ale jest to dość drogie rozwiązanie, bo będzie wymagać wymiany także konwertera i dołożenia dwóch...

    Instalacje antenowe archiwum   04 Kwi 2015 21:50 Odpowiedzi: 7    Wyświetleń: 1590
  • Problem z połączeniem przelotowym tv SAt

    musisz podłączyć tuner w kompie przez modulator np w magnetowidzie.podłącz tuner sat z magnetowidem lub innym urządzeniem posiadającym modulator,a do wyjścia modulatora podłacz karte. Możesz tez zakupić zewnętrzny modulator.

    SAT Serwis   27 Gru 2006 21:22 Odpowiedzi: 15    Wyświetleń: 4292
  • Wejście AV do telewizora (JVC C140)

    O ile dorobienie wejścia fonii wydaje się być proste o tyle wejście wizji może być problemem.Przydał by się schemat albo napisz co siedzi w torze wizji tego telewizorka.Jest jeszcze inne rozwiązanie twojego problemu.Jest to sposób "od tyłu" czyli zastosowanie modulatora.Modulator ma wejście po niskiej i zamienia go w sygnał antenowy który następnie...

    Początkujący Naprawy   26 Kwi 2004 20:10 Odpowiedzi: 7    Wyświetleń: 9146
  • Kamera IP z wyjściem Video; jak podłączyć do TV przez antenę TV ?

    Kamery bezpośrednio nie wepniesz do wzmacniacza bo to są zupełnie inne sygnały. By stworzyć osobny kanał w instalacji TV potrzebujesz modulatora np takiego do niego podpinasz kamerę i z modulatora dopiero do wejścia wzmacniacza. Pamiętaj że ten modulator to nie DVB-T wiec w zależności od TV może okazać się że będziesz musiał przełączać TV na tuner analogowy...

    Początkujący Naprawy   25 Cze 2014 21:39 Odpowiedzi: 3    Wyświetleń: 2328
  • modyfikacja instalacji antenowej w domu

    Witam. Mam przed sobą modernizacje instalacji antenowej. Istniejąca wygląda następująco. Zmiana polegać będzie na dodaniu kamery z wyjściem video oraz modulatora. Jestem w posiadaniu modulatora, model MTV-31. . Pierwsza próba podłączenia modulatora wg poniższej propozycji dala efekt słabego sygnału na wyjściu, obraz z zakłóceniami (pomijając złe działanie...

    Instalacje antenowe archiwum   14 Sty 2010 23:07 Odpowiedzi: 6    Wyświetleń: 4014
  • Zniekształcony obraz z kamer CCTV

    Najlepiej zacząć od początku, tz. - odłącz kable od rejestratora, rejestrator zostaw podłączony, załącz menu na rejestratorze i sprawdź na TV jakość obrazu - jak jest ok to podłączaj po 1 kamerze do rejestratora i sprawdzaj na TV jakość obrazu, jeżeli wszystkie kamery podłączane po 1 dawały dobry obraz na TV to. - podłącz 2 kamery sprawdź na TV jakość...

    Zabezpieczenia Stacjonarne   15 Kwi 2012 17:35 Odpowiedzi: 22    Wyświetleń: 7910
  • Kolejne pytanie dotyczące Sendera, ale nie tylko

    Kup modulator np. Mezona za 60 zL.,podłącz do dekodera i z modulatora kablem antenowym (jak chcesz to po strychu) a dalej jak Ci pasuje i masz efekt końcowy b.dobry.Sender będzie służył tylko do zmiany programów. Inne kombinacje jak pisze kolega piotr_ino " efekt do bani".

    SAT Serwis   05 Wrz 2008 14:58 Odpowiedzi: 2    Wyświetleń: 981
  • Kobieta potrzebuje pomocy!

    Witam. Więc od początku: jak już się zorientowałaś z jednego dekodera obejrzysz wszędzie jeden program tak że to znacznie upraszcza tłumaczenie :D . Jeśli posiadasz nowy model Echostara - DSB 616, to on nie posiada tzw modulatora, więc na początek potrzebny ci będzie modulator zewnętrzny. Na przykład coś z tych : www.mezon.it.pl/modulatory.html Jeśli...

    SAT Serwis   28 Paź 2005 14:10 Odpowiedzi: 6    Wyświetleń: 1720
  • Instalacja antenowa w domku jednorodzinnym

    Godny polecenia jest sprzęt firmy MEZON Przerabiałem różne firmy i zostałem tylko przy tej... Co do naziemnej to najprościej wzmacniacze liniowe np. AL20+rozgałęziacz RT14A, w antenę symetryzator i zapominasz o wymianie płytki wzmacniacza w antenie jak burza ci go skasuje a wejście na dach to wyzwanie dla alpinisty a tak wszystko pod dachem Jak chcesz...

    Radiotechnika Serwis   21 Wrz 2008 22:19 Odpowiedzi: 14    Wyświetleń: 9407
  • Instalacja SAT + Naziemna prosba o porade

    Masz racje ... Bo facet jest od tego aby je ( kable ) w scianie zatopić... Ewentualnie w kanale... np. wentylacyjnym... jeżeli masz ich 11 tak jak ja... Jak Ci sie nie chce robić to weź specjalistów... i zapłać... Rozwiązanie : Na kabel... od sumy ze strychu jeszcze jena suma z modulatora. Dalej gniazda przelotowe RTV/SAT ( które same w sobie są rozgałęźnikami...

    SAT Serwis   29 Wrz 2007 19:51 Odpowiedzi: 5    Wyświetleń: 1477
  • Dorobienie wejścia RCA Video do telewizora.

    Widać to drogi interes :( Tak czy inaczej słyszałem o dorabianiu wejścia RCA do telewizora, dlatego pytam Was o to. Taki modulator można wygrzebać ze starego magnetowidu (chyba nawet mam). Jednak wolałbym przerobić TV bo nie wiem jak ów modulator się dostraja itd. Dodano po 2 Musiałbym coś takiego kupić?

    Początkujący Naprawy   29 Gru 2014 10:50 Odpowiedzi: 9    Wyświetleń: 1338
  • Stary neptun - Czarno-biały 3 przyciski programów

    viayner napisał: kup tzw. modulator antenowy Nie ma czegoś takiego! Właśnie że jest. Przykład: modulator MTV 61 firmy MEZON. Może przetwarzać dowolne sygnały AV analogowe na wejście antenowe odbiornika telewizyjnego, kanały od 1 do 69. Do odbioru telewizji naziemnej musi być dołączony dekoder.

    TV CRT Serwis   26 Paź 2019 19:11 Odpowiedzi: 22    Wyświetleń: 2310
  • Dwa telewizory z jednego dekodera

    Modulator umożliwia podlączenie w prosty sposób tunera SAT, DVD lub innego urządzenia bez wyjścia antenowego do odbiornika TV. Do przylączenia tunera SAT slużą gniazda RCA lub SCART. Sygnal wyjściowy w.cz. na 4 kanale VHF wyprowadzony jest kablem koncentrycznym zakończonym wtykiem RF - do podlączenia TV. można to kupić w każdym sklepie RTV lub Lub też...

    SAT Serwis   24 Kwi 2009 21:03 Odpowiedzi: 13    Wyświetleń: 29050
  • kamerka do podglądu podwórka

    Witam ,najtaniej i dobrze byłoby podłączyć przez magnetowid np.wejść sygnałem na złącze euro w magnetowidzie często są np.dwa wejścia wówczas wybierasz sobie np.AV1...AV2...w drugiej fazie łączysz magnetowid kablem w.cz. (gniazdo - wtyk antenowy) łączysz od modulatora w magnetowidzie do telewizora i szukasz kanał pracy magnetowidu w telewizorze jak...

    Zabezpieczenia Stacjonarne   09 Cze 2006 17:57 Odpowiedzi: 11    Wyświetleń: 3443
  • dystrybucja wideo i sterowanie IR, prośba o pomoc

    Wystarczy przy antenie zamontować [url=]HDTV Center lub coś podobnego.. Jednak z tunera i z DVD z modulatorem potrzebujesz zwrotny sygnał do.. w/w urządzenia. Możesz podłączyć i Tuner SAT i DVD...i np. Kamerkę ochrony posesji.. Zapewni Ci to odbiór SAT i DVD na wszystkich TV. No i przy rozbudowie instalacji ( dodatkowe tunery SAT ) masz sprawę załatwioną...

    SAT Serwis   26 Wrz 2007 23:09 Odpowiedzi: 4    Wyświetleń: 1122
  • Sygnał z dvr przez gniazdko tv.

    kup sobie cos na gust urzadzenia modulatora tv w stylu mezon ... wpinasz sygnal video i audio jak masz oczywisce ustawiasz kanal nadawania i przepuszczasz to prze kabel ktory idzie na tv czyli pomiedzy antena a tv jako kanal w stylu polasat (wybor od 21 do 63 chyba) dziala cudownie Proszę natychmiast poprawić tego posta tak, aby nie urągał zasadom pisowni...

    Zabezpieczenia Stacjonarne   30 Mar 2009 08:55 Odpowiedzi: 6    Wyświetleń: 1311
  • Rozbita lampa głośnikowa i kineskop bez próżni w Neptunie B

    Mo ale te stare modulatory to miały względnie prymitywny oscylator no i "siały" dwoma wstęgami (zwykłe AM) - dostrajanie trymerem. Ale przeważnie w UHF i w BG. Choć spotykałem magnetowidy w VHF. Rok temu miałem Alladyna i miał ogromne problemy z Mezonem czy Onyxem. Na modulatorze Terra żadnych. Zatem wybór pada na Terrę. Jak uruchomię temat zamykam....

    Elektronika Retro   31 Paź 2015 11:08 Odpowiedzi: 25    Wyświetleń: 3231
  • Jak podłączyć dekoder TV cyfrowej VECTRA do starego telewizora bez euro.

    Także pozostaje tylko zmiana telewizora na taki ze złączem SCART lub RCA (cinch). lub zakup modulatora antenowego, np. MTV61 firmy MEZON (kanały 1 do 69) w cenie około 40 zł.

    DVB-T Początkujący   10 Cze 2020 15:33 Odpowiedzi: 35    Wyświetleń: 3897
  • Instalacja DVB-T na instalacji AZART

    Kierownik chciał jak najbardziej oszczędnie, więc dałem tunery wiwy + modulatory mezona - plątanina kabli, że masakra, ale działa już jakiś czas bez awarii. Dziękuje za pomoc i pozdrawiam!

    Instalacje antenowe archiwum   03 Lip 2014 14:32 Odpowiedzi: 29    Wyświetleń: 4752