REKLAMA

modulator mixpol

Znaleziono około 29 wyników dla: modulator mixpol
  • [Sprzedam] Modulator 6ch mixpol

    Tak jak w temacie nowy modulator 6ch mix pol z wbudowanym sumatorem cena 300zł kontakt pw lub 517262994 Model MDP-6/6S.

    Zabezpieczenia Bazar   23 Paź 2013 11:46 Odpowiedzi: 0    Wyświetleń: 1602
  • modulator telewizyjny Mixpol MDP-6 - pytanie

    Witam serdecznie Mam pytanie odnośnie tego modulatora czy jest to urządzenie takie jak bym miał 6 modulatorów i na każdym z nich miałbym ustawiony inny kanał a później z tych sześciu modulatorów sygnały by trafiały do sumatora sprawiając ze podłączając to do TV pod głowice UHF miałbym 6 kanałów ??? Regulamin pkt 3.1.14 . 3.1.14. Zabronione jest publikowanie...

    Połączenia urządzeń   18 Wrz 2011 19:14 Odpowiedzi: 6    Wyświetleń: 2304
  • REKLAMA
  • Modulator Mixpol MDP-6s jak podłączyć ?

    Cześć. Koledzy pomóżcie, bo utknąłem :( Sprawa wygląda tak, że miałem wcześniej modulator jedno kanałowy na nim jedną kamerę, tani zwykły modulator z: - wejściem RF IN gdzie podłączałem sygnał z anteny(kanały 1,2,tvp3,polsat), - VIDEON IN gdzie podłączyłem sygnał z kamery ustawiony na jakiś tam kanał, - i RF OUT gdzie dalej był wpięty w całą instalacje...

    Instalacje antenowe archiwum   05 Lis 2011 19:29 Odpowiedzi: 8    Wyświetleń: 3809
  • Modulator z wejściem dla anteny i kablówka.

    Witam Planuje zakupić taki modulator żeby puścić sygnał z komputera na wszystkie telewizory w domu. Problem w tym że ten modulator jak widać na schemacie ma wejście dla anteny i nie wiem czy mógłbym tam pod to wejście podłączyć kablówkę. A z drugiej strony nie chciałbym aby to co puszczam ze swojego komputera na swoje telewizory widział np. sąsiad na...

    SAT Serwis   25 Gru 2007 21:05 Odpowiedzi: 9    Wyświetleń: 1718
  • REKLAMA
  • Instalacja antenowa w bloku - modulator

    Ale myślę że sprawa leży w samym wzmocnieniu modulator mixpol ... oraz nao22 napisał : podłączyłem modulator oraz antenę naziemną do wejścia UHF1 ustawiłem wzmocnienie na kanały K24 oraz k41 na UHF1 wynik-> przesterowania na kanałach TVP1 oraz TVP2 Czy nie należałoby pomyśleć o tłumikach na wyjściu modulatora jeżeli podłączamy do jednego wzmacniacza...

    Instalacje antenowe archiwum   04 Gru 2011 13:53 Odpowiedzi: 17    Wyświetleń: 4470
  • [Sprzedam] Przemiennik DVB-T na 8x Pal - stacja czołowa Mixpol DVB08

    Witam sprzedam przemiennik DVB-T na 8x Pal - stacja czołowa Mixpol DVB08. 8-kanałowa stacja czołowa (przemiennik) DVB-T / 21-69 UHF PAL Dwuwstęgowa 8-kanałowa stacja czołowa zamienia cyfrowy sygnał telewizji naziemnej DVB-T na analogowy sygnał PAL w kanałach 21-69 UHF umożliwiając odbiór 8 kanałów cyfrowych na analogowych odbiornikach telewizyjnych....

    Sprzęt RTV Bazar   09 Lis 2014 20:20 Odpowiedzi: 0    Wyświetleń: 3057
  • Instalacja RTV-SAT + 6 modulatorów.

    Tak tylko sęk w tym że za pojedyncze modulatory zapłace ok 70 zł ( 6x70 =420 ) a modulator 6 kanalowy ok 700. Dlatego pytam znawców tematu jak to wygląda w praktyce Czyli rozumiem że dla powyższej instalacji żeby zachować jakość wchodzi w grę tylko Modulator telewizyjny 6 kanałowy Mixpol MDP-6 kanały 1-69 . Posty scaliłem. /Olek II/

    Instalacje antenowe archiwum   21 Lut 2011 16:21 Odpowiedzi: 20    Wyświetleń: 3330
  • Mixpol MDP-8S - Instalacja tv dvbt na kempingu

    Witam serdecznie. Szanowni Państwo chciałbym zainstalować telewizję naziemną na kempingu i potrzebuję pomocy. Obecnie na recepcji zainstalowane są : -4 dekodery dvbt -Modulator telewizyjny 4 kanałowy Mixpol MDP-4S W domkach są 34 telewizory analogowe. W załączniku dodałem mapkę rozmieszczenia domków oraz odległości od recepcji i poszczególnych domków....

    Instalacje antenowe archiwum   19 Lip 2016 08:57 Odpowiedzi: 1    Wyświetleń: 1401
  • REKLAMA
  • Modulator pod tuner oraz co najmniej 4 kamery

    Nie rozmyślił się! Poczytałem, popytałem i skorzystam z najnowszych rozwiązań Mixpola!Modulator telewizyjny 6 kanałowy Mixpol MDP-6 cosik takiego jest, najnowsze mają już nie 6 a jedno wspólne wyjście i na to rozwiązanie się skuszę! Natomiast co do SCARTa, są modulatory z wejściem SCART, na Allegro widziałem takie rozwiązania! Pozdrawiam

    SAT Szukam   24 Sty 2010 14:46 Odpowiedzi: 4    Wyświetleń: 2483
  • czy MODULATOR MDP-8 jest coś warty?

    Witajcie, czy MODULATOR MDP-8 (firmy Mixpol) ewentualnie wersja z sumatorem [S] jest coś warty? chodzi oczywiście o jakość sygnału powiedzmy 5 programów z dekoderów i 3 wejścia z kamer zakładam że bedę pracował w UHF z odstępem co najmniej 2 kanały może macie jakieś praktyczne doświadczenia z tym sprzętem? albo może znacie jakieś odpowiedniki? pozdrawiam

    SAT Technika Satelitarna   25 Kwi 2014 15:05 Odpowiedzi: 3    Wyświetleń: 2228
  • Jaki zespół modulatorów do instalacji w Hotelu?

    Witam. Telmor sprzedaje przecież tylko przez przedstawicieli handlowych. Są adresy na ich stronie. [url=] Koło mnie w hurtowni stale widziałem te modulatory Mixpola raz zamontowałem taką ósemkę i coś mi nie podszedł ;) Zadowolony byłem jedynie z Terry - wszelkie regulacje, tyle że pojedyncze, ale w szereg można podłączać.

    SAT Technika Satelitarna   11 Lip 2008 11:53 Odpowiedzi: 5    Wyświetleń: 1524
  • [Zlecę] modulator do kamer

    Można bez problemu kupić . Ma być taniej? Co to za kamery? TV przemysłowej, analog czy IP? Telewizory teraz mają funkcje internetowe. Nie lepiej zastosować IP i rozprowadzić po sieci?

    Ogłoszenia Elektronika   08 Paź 2012 20:09 Odpowiedzi: 4    Wyświetleń: 1224
  • modulator TV - tani i dobry

    andrefff >>> niby tak ( wysłałem maila ale na razie cisza ) faktycznie telefon się zmienił ( THX ) - więc namiar już mam - producent twierdzi że powinno to działać co drugi kanał bez zakłóceń - ktoś ma może jakieś doświadczenia z ich sprzętem ( Mixpol-a ) ?

    Instalacje antenowe archiwum   04 Wrz 2014 09:25 Odpowiedzi: 89    Wyświetleń: 14316
  • Modulator MEZON RF-7000 jak podłączyć 11szt. do jednej anteny

    Sumowanie sygnału z tych modulatorów to istna loteria jak już coś robić to na sprzęcie który się do tego nadaje, coś z Mixpola, lub Telmoru : lub nowsza wersja : Komplet jest dość drogi, ale gwarantuje że będzie działał dużo lepiej i nie będzie problemu z serwisem. i na wyjściu jest gotowy sygnał do multiswitcha lub na wzmacniacz.

    Instalacje antenowe archiwum   07 Lut 2013 15:44 Odpowiedzi: 6    Wyświetleń: 2787
  • REKLAMA
  • Jak podłączyć DVD do telewizora bez wejścia Euro

    Modulator [url=]Link

    Połączenia urządzeń   29 Sty 2012 18:11 Odpowiedzi: 4    Wyświetleń: 4363
  • DVB-T - na 10 Telewizorach analogowych

    Witam. Chciałbym przerobić instalacje antenową w moim hoteliku a mianowicie. Obecnie posiadam 10 telewizorów analogowych i do każdego dokupiłem tuner dvb-t wiwa 55, które często się wieszają lub coś w nich nawala, albo klienci mają problem z dwoma pilotami itp. Chciałem zastosować modulator 8 kanałowy mixpol lub modulatory 1 kanałowe i podłączyć je...

    Instalacje antenowe archiwum   25 Kwi 2014 16:10 Odpowiedzi: 17    Wyświetleń: 1764
  • Analogowa instalacja dvb t

    Witam, dopiero mam troszkę czasu żeby napisać co z moją instalacją. Zrobiłem tak jak chciałem czyli Modulator Mixpol MDP-8S do niego dekodery WIWA HD-95, kanały ustawiane od 21,23... jak to działa. Po podłączeniu modulatora do WWK-921 UHF4 ( jak zaleca instrukcja ) w budynku obraz b. dobry w drugim oddalonym jakieś 40 metrów jest gorzej, jest tam instalacja...

    Instalacje antenowe archiwum   12 Cze 2013 17:41 Odpowiedzi: 8    Wyświetleń: 2946
  • Polytron SPM 1000 - stacje czołowe - sumowanie sygnałów - hotel

    Muszę w hotelu rozbudować instalację tak, żeby dołożyć dodatkowe kanały TV naziemnej. Obecnie zsumowane są 2 stacje czołowe POLYTRON SPM 1000 digi ([url=]Link), które posiadają modulację jednowstęgową. Nie są wykorzystane wszystkie wejścia na moduły. Ogółem obie stacje nadają teraz jakieś 22 programy. Przydałoby się dołożyć jeszcze powiedzmy 16. Teraz...

    Instalacje antenowe archiwum   20 Lis 2013 10:15 Odpowiedzi: 1    Wyświetleń: 1224
  • Jak rozdzielić sygnał z 3 dekoderów na N telewizorów

    no tak to jeszcze raz dzieki dzac4 i wychodzi na to ze to jest to urzadzenie ktorego szukalem chyba ze ktos jeszcze zaproponuje innej firmy podobny modulator

    RTV Użytkowy   14 Wrz 2010 19:59 Odpowiedzi: 16    Wyświetleń: 3811
  • Przesył sygnału z dwóch kamer jednym kablem koncentrycznym do rejestratora.

    Tak, oczywiście można to zrobić, pod warunkiem, że kabel jest kablem typu antenowego, a nie typowym do CCTV. Należy wybrać takie kanały TV, które nie są obsadzone przez nadajniki naziemne w pobliżu. Jako demodulatory można wykorzystać analogowe tunery TV z dawnych stacji czołowych tv kablowych, lub to: [url=]Link. Jako modulatory np. takie: [url=]Link....

    Instalacje antenowe archiwum   20 Paź 2011 20:10 Odpowiedzi: 2    Wyświetleń: 3282
  • TV bez Euro złącza (SCART) jak podłączyć?

    Witam. Piszesz "Błagam" aż serce się kraje Takie modulatory były stosowane do tunerów SAT -(dawna cyfra+) Posiadały eurozłącze i wyjście do wejścia na antenę TVC . Miały swoje zasilanie .To musi być jeszcze gdzieś w sklepach elektronicznych. ----------------------------------------...

    Początkujący Serwisanci   13 Gru 2005 22:44 Odpowiedzi: 5    Wyświetleń: 4123
  • Zakup analizatora do tv kablowej

    Witam, Chciałbym kupić do firmy analizator widma do tv-kablowej. Jest jeden typ MixPol MDM-2000 za 2300zl, czy ktoś z Was ma takie cudo i korzysta z tego? Mam taki problem, że C-21 - puste miejsce C-22 - jakiś program tv - sygnał 70dB - odbiór paskudny, jakby porysowany papierem ściernym i pojawia się pionowy Pas, który leci od prawej strony do lewej...

    RTV Użytkowy   15 Kwi 2011 15:22 Odpowiedzi: 0    Wyświetleń: 647
  • rozprowadzenie DVB-T w domkach letniskowych (25)

    mariuszl tuner z modulatorem to nie rozwiązanie, bo takich tunerów postawiłbym 8, a i tak muszę jakoś 8 sygnałów połączyć w 1 na wyjściu. W opisie tego modulatora MixPol jest napisane, że dodatkowo za modulatorem trzeba postawić wzmacniacz kanałowy. Jeden i to wszystko? Wiem, że na trasie do domków znajdują się gdzieś tam jeszcze jakies 2 wzmacniacze...

    Instalacje antenowe archiwum   10 Cze 2012 10:39 Odpowiedzi: 23    Wyświetleń: 3638
  • Nadajnik tv jaki najlepszy?

    Poszukaj w sieci (na All jest drożej) modulatora TV np. firmy MIXPOL, ceny zaczynają się od ok. 40zł, masz tam wejście dla sygnały wizji i fonii i wyjście sygnału wcz na dowolny (można ustawiać) kanał TV, zabawka do podłączenia do wejścia antenowego TV bo ma małą moc, potem dorób (to dla ciebie prostsze choć nie proste) wzmacniacz mocy. Modulator jest...

    Początkujący Naprawy   18 Mar 2013 08:41 Odpowiedzi: 6    Wyświetleń: 1812
  • Wyjście wideo przez "czincza"+głos na wejscie ante

    Potrzebny ci modulator AV-TV. Pełno jest tego w handlu. Dam ci przykład, byś wiedział czego szukać: Pozdrawiam

    Początkujący Serwisanci   19 Lut 2007 18:34 Odpowiedzi: 2    Wyświetleń: 1118
  • Instalacja antenowa w domku (minikablówka?)

    Witam! Jestem początkującym w tej dziedzinie i rposze o porady i sprawdzenie schematu: (lokalizaja okolica Tarnowa) 1.TV naziemna na wzmacniaczu:[url=]WWK-861 2.do wejścia VHF podpinam antenę[url=]TĄ(TVP1 z Krakowa) 3.do wejścia UHF1 podpinam siatkową, lub [url=]TĄ (TVP2 Tarnów, TVPInfo Tarnów, Polsat Tarnów) 4.do wejścia UHF2 podpinam siatkową lub...

    Radiotechnika Początkujący   22 Paź 2008 21:49 Odpowiedzi: 27    Wyświetleń: 2496
  • Instalacja DVB-T na instalacji AZART

    Wziąłem pod uwagę Mixpol DVB-08 A co kolegę tak szczególnie urzekło w tej "stacji czołowej" ? Stosunek jakości do ceny ? Kolor? Świetne parametry pracy? A może profesjonalne dla tego typu urządzeń wtyki RF? co o tym sądzicie? To jest zabawka edukacyjna co najwyżej a nie sprzęt do dystrybucji kanałów. wg. Was firmy (ew. modele) brać pod uwagę? A nie...

    Instalacje antenowe archiwum   03 Lip 2014 14:32 Odpowiedzi: 29    Wyświetleń: 4752
  • Instalacja DVB-T na ~100 Pomieszczeń

    Ogólnie ja Mixpola mogę bez wahania polecić modulatory super stabilne bez śmieci oraz z dużym sygnałem wyjściowym wszystko porządnie wykonane , ani razu się nie zawiodłem wykonując instalacje hotelowe.

    Instalacje antenowe archiwum   14 Lip 2013 10:52 Odpowiedzi: 21    Wyświetleń: 5124
  • DVB-T Instalacja antenowa na 15 odbiorników - Bielsko

    Witam serdecznie. Fotodetektor i jakie wybrałeś rozwiązanie,bo ja zastanawiam się nad stacja czołową ZMD-1200 z modulatorami+ osiem tunerów FERGUSON ARIVA DVB-T T650I.No i tak to miałoby wyglądać (foto). Pozdrawiam. lub Modulator telewizyjny 4 kanałowy Mixpol MDP-4S

    Instalacje antenowe archiwum   24 Sie 2016 18:18 Odpowiedzi: 21    Wyświetleń: 6176