REKLAMA

podstawy fpga

Znaleziono około 299 wyników dla: podstawy fpga
  • Podstawy związane z FPGA... (środowisko + literatura).

    Witam! Miałem na studiach pokrótce omówioną tematykę CPLD, FPGA, mikrokontrolerów, etc... (tylko 2-3 wykłady). Niestety niewiele z tego pamiętam i chciałbym sobie odświeżyć wiadomości, poznać szerzej tą tematykę, a docelowo zaprogramować i zmontować kilka układów (tylko na własne cele). Interesują mnie układy przetwarzające niewielką ilość danych, jak...

    Programowalne układy logiczne   23 Lis 2014 13:48 Odpowiedzi: 8    Wyświetleń: 2697
  • REKLAMA
  • [FPGA][Verilog]Testbench wystawia ciągle dla wyjścia StX

    Mimo, że to wyjście czyli samo się ustawi na podstawie wejść?

    Programowanie   12 Sty 2018 20:15 Odpowiedzi: 5    Wyświetleń: 558
  • Płytka ewaluacyjna FPGA dla początkującego

    Zakupiłem to: Dziś przyszło - Na razie ogarniam podstawy :)

    Programowalne układy logiczne   25 Lip 2018 17:24 Odpowiedzi: 12    Wyświetleń: 1158
  • Implementacja symulowanego wyżarzania do rozmieszczania bloków w FPGA w Rust

    Poniższy artykuł to swoista opowieść o podróży autora w tematykę algorytmów rozmieszczania elementów w układach programowalnych (FPGA). W trakcie tego procesu zaimplementowano prosty symulator optymalizacji rozmieszczania w FPGA w języku Rust. Temat ten rzadko jest wyjaśniany w jednym miejscu z odnośnikami i działającą implementacją, ze względu na...

    Artykuły   26 Lut 2024 21:16 Odpowiedzi: 0    Wyświetleń: 768
  • Nowy akcelerator z układem programowalnym FPGA dla komputerów PC

    Aplikacje obliczeniowe o dużej przepustowości i różnorodne obciążenia obliczeniowe są motorem wprowadzania układów programowalnych (FPGA) do komputerów PC w postaci kart – programowalnych akceleratorów itp. Spółka Achronix Semiconductor i BittWare (marka firmy Molex), zaprezentowały właśnie nową klasę kart akceleratora FPGA do przetwarzania danych...

    Newsy   29 Lis 2019 14:23 Odpowiedzi: 3    Wyświetleń: 1344
  • REKLAMA
  • Parallel Cable III - moja wersja konfiguratora FPGA.

    Chciałbym przedstawić swoją wersję konfiguratora FPGA, który powstał na potrzeby 'programowania' układu, który buduję na pracę magisterską. Przepraszam od razu za słabą jakość zdjęcia(robione telefonem). Tego typu prostych projektów pewnie było już pełno, ale jest to pierwszy układ który zbudowałem sam od A do Z i nie rzuciłem w kąt po pierwszym nieudanym...

    DIY Konstrukcje   13 Lis 2008 14:28 Odpowiedzi: 5    Wyświetleń: 7870
  • Wimax na FPGA. Czy dam radę to zrobić?

    Podstawa to okreslenie minimum tego co masz w pracy zrealizowac i z czego bedziesz sie bronil i co bedziesz prezentowal podczas obrony. Nie ma sensu spelniac wszelkich zachcianek promotora, bo ci ludzie nie maja pojecia o co im chodzi. Dla nich sie liczy kasa jaka maja za prowadzenie pracy dlatego wymyslaja bog wie co zeby wygladalo to na jakis przemyslany...

    Początkujący Elektronicy   19 Paź 2006 19:17 Odpowiedzi: 5    Wyświetleń: 1388
  • Układ FPGA czy Układ FPGA SoC

    Hej chciałbym sobie sprawić układ FPGA (głównie do nauki). Mam na oku ten oto układ Ma on w sobie FPGA i HPS Czy z obrazka wynika że "częścią fpga" nie obsłuże bezpośrednio np akcelometru, i są mi potrzebni pośrednicy z HPS? Z systemami na czipie nie miałem doczynienia stąd takie trywialne pytanie. Chciałbym byście ocenili ten układ i jeżeli znacie...

    Programowalne układy logiczne   22 Paź 2014 11:55 Odpowiedzi: 4    Wyświetleń: 2853
  • DIY FRPWM o rozdzielczości pikosekundowej, na układzie FPGA.

    Dość zabawne, że jako zalety BAM wymieniają, że wystarczy 8 odświeżeń na cykl zamiast 256.. LOL czyli porównują programowy PWM i na tej podstawie wyciągają wnioski świetności BAM kontra PWM? Idąc tym tropem, to jaki powinien być zegar CPU żeby przemielić funkcję odświeżania BAM i uzyskać podobne rezultaty jak opisane w tym temacie? ;)

    Artykuły   02 Paź 2021 15:03 Odpowiedzi: 22    Wyświetleń: 2445
  • REKLAMA
  • FPGA Xilinx Spartan 3 zestaw uruchomieniowy

    Teraz o Altium Designer, jest płatny, w dodatku TO jest TYLKO INNA nakładka graficzna do narzędzi Xilinx, Altera czy Lattice. Przecież korzystając z ISE czy Quartusa mój projekt na FPGA jest zwykłym scalakiem z niczym nie związanym. Mnie to mnie nie przekonuje, tak samo jest z mikrokontrolerami, mikroprocesorami, pSoC czy procesorami sygnałowymi. Altium...

    Programowalne układy logiczne   01 Cze 2012 19:37 Odpowiedzi: 29    Wyświetleń: 9627
  • FPGA - Spartan wykryte zatrzaski

    Próbuję zbudować następujący "układ" moja znajomość FPGA to miganie diodą. Całość w Verilogu. FPGA ma być traktowana jako pamięć (2 obszary przęłączane) mam dostępne tylko 24 piny inne zajęte pomyślałem o takim czymś pomiędzy układem zewnętrznym (procesor) a pamięcią wewnętrzną (24bit) jest bufor w postaci reg [23:0] bdata; //bufor 24 bit pomiędzy pamięcią...

    Programowalne układy logiczne   20 Lis 2015 08:08 Odpowiedzi: 7    Wyświetleń: 2355
  • Zastosowanie FPGA do urządzeń bazujących na sztucznych sieciach neuronowych

    Na poziomie eksperymentalnym tak. Na poziomie komercyjnym (szczególnie jeżeli chodzi o większe systemy - no może średnie o bo tych naprawdę dużych nie wiem za wiele) to już nie. Pracuję w firmie gdzie część osób prowadzi tzw. badania podstawowe - rozchodzenie się fal ultradźwiękowych itp. w tej dziedzinie robią ogromną ilość symulacji i rzeczywistych...

    Programowalne układy logiczne   13 Lis 2019 22:53 Odpowiedzi: 28    Wyświetleń: 1542
  • [Studia]Stworzyć mikroprocesor na elem. log. i wgrać na FPGA

    Witam wszystkich. Ogólnie to: Dostałem projekt na studiach w którym to mam zaprojektować procesor a następnie wgrać do FPGA. Normalnie pewnie nie miało by to sensu ale mam to zrobić żeby się po prostu nauczyć konstrukcji podstaw procesorów i posługiwania się FPGA Więcej: Na początku powiem, że jestem w Portugalii na erasmusie i nie mam się za bardzo...

    Programowalne układy logiczne   23 Gru 2010 23:38 Odpowiedzi: 4    Wyświetleń: 2570
  • Książka o tematyce FPGA/CPLD dla zaawansowanych

    Co do podstaw to akurat z polską literaturą nie ma kłopotu. Mogę polecić Ci skrypt prof. Hławiczki. Jest co prawda w dużej mierze opisem ćwiczeń laboratoryjnych, ale ma też dość solidnie wyjaśnione podstawy. Jest też Kalisz. Niezłe wprowadzenia do FPGA są na asic-world. Ad. 5. Do głowy przychodzi mi prof. Dariusz Kania. Nie miałem do czynienia z książkami...

    Programowalne układy logiczne   12 Sie 2015 12:36 Odpowiedzi: 1    Wyświetleń: 1923
  • [FPGA][VHDL] opóźnienie sygnału wyściowego o 10-15ns

    Chodzi mi o coś innego. W przypadku odczytu z pamięci dane powinny być dostępne na szynie danych przez co najmniej 10 ns po opadającym zboczu zegara E (koniec cyklu). (tDHR parametr nr 18 , strona nr 3 karty katalogowej MC68B09EP) U mnie dodatkowo między MC68B09E a FPGA jest bufor LVC245 (dla konwersji poziomów logicznych), jego kierunek kontrolowany...

    Programowalne układy logiczne   25 Sie 2023 20:20 Odpowiedzi: 8    Wyświetleń: 996
  • sterownik akwizycji probek - cpld/fpga - programator

    poczytalem troche tamat o podstawach, znalazlem i jakies porownanie cpld i fpga... ale to raczej takie teoretyczne sprawy i dalej mam problem... musze zaprojektowac sterownik akwizycji probek, czy jak to inaczej ladnie elokwentnie nazwac ;)... czyli: uklad programowalny, ktory bedzie sterowal przetwornikiem ADC i jakas pamiecia RAM (jaka nie wiem jeszcze),...

    Programowalne układy logiczne   10 Lip 2008 14:02 Odpowiedzi: 4    Wyświetleń: 1818
  • Altium Designer - Nie można dodać bloczka na podstawie pliku vhd.

    Nie ogarniam, czemu korzystacie z tej... nakładki na narzędzia, która jak widać jest lipna. To jak skórka do notatnika, niby sprawia że wygląda 'cool' i w ogóle 'user-friendly', to i tak stary notatnik. W tym wypadku macie to samo, i tak musicie ściągać i instalować narzędzia do syntezy i implementacji rodowitych producentów FPGA. Wyjdzie Ci lepiej...

    Programowalne układy logiczne   23 Sty 2012 22:33 Odpowiedzi: 4    Wyświetleń: 1984
  • Oscyloskop z wyświetlaczem VGA oparty na układzie FPGA Intel MAX10 (maXimator)

    1. Dlaczego powstał ten projekt? Założenia. Od paru lat fascynowało mnie zbudowanie własnego oscyloskopu i poznanie pewnych problemów tej tematyki "od podszewki". Pierwsze praktyczne próby realizacji tego tematu podjąłem w czasie studiów, gdy w moje ręce pierwszy raz trafił zestaw maXimator - wyposażony w układ Intel FPGA (dawniej Altera) MAX10 10M08DAF256C8G,...

    DIY Konstrukcje   18 Mar 2019 21:16 Odpowiedzi: 23    Wyświetleń: 7155
  • Przenośny dwukanałowy oscyloskop cyfrowy na FPGA & ARM (max 250MSPS/kanał)

    Witam Jak dla mnie projekt kolegi mongoł2000 należy do ścisłej czołówki najlepszych projektów prezentowanych w konkursie. I tak od dwóch lat przymierzam się, aby coś zrobić na Spartanie lub Artiksie, ale brakuje mi śmiałości. Boje się, że nie dam rady. Nigdy nic nie pakowałem do CPLD czy FPGA. Jakieś podstawy VHDL-a mam. Witaj w klubie :), podobnie...

    DIY Konkurs   22 Sty 2018 21:36 Odpowiedzi: 50    Wyświetleń: 18192
  • Gdzie znajdują się układy FPGA w wyścigu o miejsce w elektronice samochodowej?

    Procesory uczenia maszynowego, czy układy typu system-on-a-chip (SoC) zintegrowane z akceleratorami AI są na celowniku przemysłu motoryzacyjnego; wynika to głównie z faktu, że tego rodzaju elementy już dawno uznano za krytyczne dla utrzymania wysokiego poziomu bezpieczeństwa w pojazdach o wysokim poziomie autonomii. Ale co z klasycznymi układami programowalnymi...

    Newsy   03 Lip 2018 19:17 Odpowiedzi: 0    Wyświetleń: 1449
  • [FPGA] - Srodowisko bez dodatków

    Cześć! Ostatnio bardzo powoli zacząłem ogarniać FPGA (z pomocą płytki nano z ukłądek Cyclone IV) I tutaj moje pytanie, przyzwyczajony jestem z programowania mikroprocesorów, że sam sobie wszystko ustawiam i ustalam. Dlatego dla uC nie używam żadnych wizardów i innych pierdół jak np. CodeWarrior który ma niby pisać kod za nas na podstawie ustawień....

    Programowalne układy logiczne   21 Cze 2015 09:34 Odpowiedzi: 8    Wyświetleń: 2226
  • [FPGA][Ogolne] Jak zacząć walkę z FPGA?

    Mam 10letnie doświadczenie z róznego rodzaju uC, bawiłem sie kiedyś w 'cyfrówke' biorąc róznorakie bloki cyfrowe i łączyłem je w konkretną całość (zegarki,minutniki,sterowniki z pamięcią) - czy to dobre podstawy by zacząć zabawe z FPGA? Głównie interesują mnie układy z pamięcią - np jednostka obsługi matryc TFT...na forum czytalem ze do takich zadań...

    Programowalne układy logiczne   12 Cze 2008 06:50 Odpowiedzi: 3    Wyświetleń: 6042
  • FPGA - FPGA dla początkującego i nie tylko

    Dzień dobry Zamierzam jako pracę dyplomową magisterską wziąć coś z FPGA, nigdy nie miałem z tymi układami do czynienia (jedynie programowanie graficzne), stąd warunki: - w miarę tanio, - z możliwością budowania zarówno prostych jak i zaawansowanych aplikacji. Chciałbym też zapytać o to jaki NA DZIEŃ DZISIEJSZY jest lepszy język? VHDL? Verilog? chodzi...

    Programowalne układy logiczne   19 Maj 2016 09:45 Odpowiedzi: 4    Wyświetleń: 4353
  • Microsemi dodaje do swojej oferty dla układów FPGA IP-Core z procesorem RISC-V

    Firma Microsemi z siedzibą w Aliso Viejo w Kalifornii została właśnie pierwszym dostawcą układów programowalnych (FPGA), który oferuje procesory oparte na otwartej architekturze RISC-V, jako programowe IP-Core. Wraz z samymi IP-Core firma wypuściła także cały toolchain dedykowany do zastosowania tych układów w systemach wbudowanych. RISC-V to nie jest...

    Newsy   23 Lis 2016 17:10 Odpowiedzi: 0    Wyświetleń: 1251
  • Kurs FPGA Lattice MachXO2 w języku Verilog: publikacja w Elektronice Praktycznej i na GitHubie

    Chciałbym zaprosić wszystkich zainteresowanych do mojego kursu FPGA Lattice w języku Verilog. W kursie wykorzystujemy FPGA typu MachXO2 z uwagi na jego niską cenę, prostą do lutowania obudowę i duży potencjał w wykorzystaniu na potrzeby hobbystycznych projektów. Kurs jest publikowany w Elektronice Praktycznej, a także dostępny jest na stronie ep.com.pl....

    Programowalne układy logiczne   09 Mar 2024 12:50 Odpowiedzi: 18    Wyświetleń: 2364
  • Jaki zestaw Development Kit do nauki FPGA i VHDL?

    Nie bede sie powtarzal po moich znakomitych przedpiscach, gdyz to co pisza to "swiete slowa", jednak dodalbym pare zdan do tych wypowiedzi. Co do kitu jest to kwestia subiektywnej oceny. Jakosc kitow oraz softu do implementacji projektow jest mniej wiecej wyrownana miedzy konkurentami. Niezaprzeczalnie najwiecej kostek sprzedaje Xilinx. Altera nie jest...

    Programowalne układy logiczne   26 Cze 2008 20:04 Odpowiedzi: 39    Wyświetleń: 16610
  • [FPGA] - Jakie mają możliwości?

    wstarczy wyguglować fpga szkolenia i masz pierwsze dwie firmy Oglądałem internet pod kątem szkoleń ale pierwsza firma nacisk kładzie na wykorzystanie Altium niż FPGA(byłem na 1 pokazie Altium) , natomiast druga firma ma ciekawą ofertę ale dla większej liczby osób (>6). A z mojej firmy to max 2-3 osoby skorzystałyby z tego szkolenia. Także dalej szukam...

    Programowalne układy logiczne   25 Sty 2010 22:02 Odpowiedzi: 9    Wyświetleń: 4607
  • FPGA - GPIB na USB, Implementacja uC na układzie FPGA

    Dzień dobry Jestem w trakcie wyboru tematu na pracę dyplomową, widzę interesujące tematy takie jak te z tematu postu czyli: 1.Wykonanie konwertera USB/GPIB na FPGA 2.Wykonanie na zestawie ewaluacyjnym (np. Altera DE2) implementacji mikrokontrolera konsoli takiej jak Commodore 64 czy NES, łączenie się do monitora przez wyjście VGA itp. Teraz moje pytanie...

    Programowalne układy logiczne   08 Gru 2014 19:00 Odpowiedzi: 6    Wyświetleń: 2394
  • [Praca] Inżynier elektronik (FPGA) - Warszawa - Astri Polska - Kosmos

    Pasja i dobra atmosfera pracy :) Stanowisko: INŻYNIER ELEKTRONIK (FPGA) Do zadań osoby zatrudnionej na tym stanowisku będzie należało: projektowanie urządzeń elektronicznych w oparciu o układy FPGA na potrzeby przemysłu kosmicznego; projektowanie urządzeń elektronicznych przy pomocy języków opisu sprzętu (VHDL); wykonywanie zadań w obszarze ogólnych...

    Projektowanie Bazar   12 Sty 2018 22:23 Odpowiedzi: 0    Wyświetleń: 1662
  • fpga + głośnik, a co pośrodku?

    Witam. Jakby nie było jestem laikiem w dziedzinie elektroniki, niemniej stanął przede mną następujący problem. Mam układ fpga Spartan 2 na którym planuję generować prostokątny sygnał wyjściowy będący "podstawą" dźwięku jaki chciałbym uzyskać na głośniku. (zakres około kilkuset Hz) Tutaj niewielka dygresja. Znajomi pokusili się o proste rozwiązanie....

    Projektowanie Układów   26 Lis 2006 20:14 Odpowiedzi: 3    Wyświetleń: 963
  • [FPGA] - Przesyłanie danych między układem FPGA a komputerem

    Czytam, że robisz jak napisałem tak jest dość łatwo jak nie znasz za bardzo VHDL-a. Co do produktów Altery to nie miałem nigdy z nimi kontaktu. Moje marzenie to DE2-70 z resztą akcesoriów :). Słuchaj ja bym to zrobił tak w Xilinxie wyposażasz MB w IP Core od RS232. Odczytujesz wartość czy ciąg znaków co wysyła PC następnie zapisał bym to do tablicy...

    Programowalne układy logiczne   12 Maj 2010 08:12 Odpowiedzi: 16    Wyświetleń: 4939
  • Początkujący DSP i FPGA - język programowania

    Obecnie najpopularniejsze są: VHDL oraz Verilog. Osobiscie polecam Ci VHDL i środowisko do pisania i symulacji firmy Xilinx, mają darmową wersję Ise Webpack która wystarczy dla początkujących. Warto dodać iż w symulacjach Post Fit lub Post map(rout) uwzględniane są czasy propagacji dla danych modelów chipów FPGA lub CPLD. Dla czego VHDL? Moim skromnym...

    DSP i Transmisja   05 Cze 2010 10:05 Odpowiedzi: 7    Wyświetleń: 4296
  • FPGA Spartan - Obsługa LCD VHDL

    osobiście bym rozwiązał to za pomocą tablicy prawdy ale może są jakieś lepsze rozwiązania o których nie wiem ?? tablica prawdy to wprawka dla studentow uczacych sie podstaw elektroniki cyfrowej; - musisz dokladnie zrozumiec/przemyslec algorytm, jaki chcesz zrealizowac - poznac przynajmniej podstawy jezyka, w ktorym chcesz opisac uklad; aby zrealizowac...

    Programowalne układy logiczne   13 Maj 2014 12:23 Odpowiedzi: 3    Wyświetleń: 2382
  • XLR8 - płytka deweloperska z FPGA MAX10 dla użytkowników Arduino.

    XLR8 to płytka deweloperska z układem FPGA, zaprojektowana w celu przyśpieszenia operacji i funkcji sprawiających problem platformie Arduino. Firma Alorium Technology przedstawiła płytę deweloperską XLR8 zaprojektowaną specjalnie w celu pokonania ograniczeń i zdolności obliczeniowych platformy Arduino. XLR8 oferuje akcelerację operacji matematycznych...

    Newsy   27 Paź 2015 21:19 Odpowiedzi: 0    Wyświetleń: 1464
  • Jak efektywnie obsługiwać urządzenie I2C z FPGA (Intel, Nios II)?

    Witam Dwa tygodnie temu zacząłem 'zabawę' z układem fpga (Intel). System testowy oparty jest na cpu Nios II. W systemie wykorzystuję urządzenie zewnętrzne komunikujące się poprzez interfejs I2C. Do urządzenia jednorazowo przesyłana jest 'paczka' inicjująca owo urządzenie. Być może (z dużym prawdopodobieństwem) w przyszłości będzie przesyłane więcej...

    Programowalne układy logiczne   03 Wrz 2019 21:04 Odpowiedzi: 8    Wyświetleń: 945
  • interfejs VGA PS2 USB do FPGA

    Jesliby zmajstrowac przestrajany generator oparty na NE555 na malej plytce z wyprowadzeniami zgodnymi elektrycznie i fizycznie ze standardowymi generatorami kwarcowymi, to w probnych ukladach moznaby taki generator przejsciowo wkladac w podstawke zamiast drozszego generatora kwarcowego. Uwazac jedynie nalezy na zgodnosc napiec miedzy 555 i FPGA by czegos...

    Mikrokontrolery   10 Gru 2004 15:22 Odpowiedzi: 15    Wyświetleń: 3487
  • FPGA - co to jest

    FPGA= Field Programmable Gate Arrays Po polsku programowalne matryce bramkowe. Programowalne wiec role jaka pelni uklad sie "programuje" Matryce bo pewne bloki funkcjonalne sa juz zdefiniowane. Bramkowe bo spelniaja funkcje logiczne. Co do podstaw to ksiazka "Uklady programowalne- pierwsze kroki" P.Zbysinski J.Pasierbinski Do ksiazki jest dolaczony...

    Mikrokontrolery   12 Sie 2005 11:37 Odpowiedzi: 8    Wyświetleń: 4091
  • XILINX FPGA - Memory Interface Generator - DDR RAM

    Ja uzywalem kiedys ipcora z firmy Nallatech do DDR-u, tam wystarczylo zmienic kwarc 100MHz na inny o lepszy - mial mniej ppmow ;-). A propos ARM vs MB. Wg mnie nie ma co tu porowynwac, ARM to prawdziwy SoC z wszystkimi featurami dostepnymi w ASIC-ach, z definicji czestotliwosci tam dostepne sa nie do osiagniecia w FPGA . Zegar 60 MHz ktory wchodzi do...

    Programowalne układy logiczne   10 Maj 2007 07:57 Odpowiedzi: 9    Wyświetleń: 3156
  • [FPGA] czasy propagacji - jak sobie z tym poradzić

    algorytm powstaje, w planie będzie to wyglądało tak że na podstawie bazy danych skrypt w php ma wygenerować kod w VHDLu najprawdopodobniej, który będzie wgrywany do FPGA - dużo warunków na podstawie danych wejsciowych (tablice jedno i wielowymiarowe) + mnożenie realów + dodawanie PS. swoją drogą będe miał najprawdopodobniej kilka tematów innych opartych...

    Programowalne układy logiczne   18 Sie 2011 18:28 Odpowiedzi: 3    Wyświetleń: 1935
  • [Zrobię] Projektowanie PCB / Schematów / FPGA / uC / inne usługi elektroniczne

    Witam W ramach mojej działalności chciałbym zaoferować Państwu swoje usługi z szerokiej dziedziny elektroniki. Projektowanie PCB - dowolna ilość warstw - zrealizowane 20 warstwowe - oprogramowanie: CADENCE ALLEGRO/ALTIUM DESIGNER/PROTEL/CADSTAR/PADS/EAGLE/ oraz inne na życzenie klienta Tworzenie schematów elektrycznych - dowolna trudność urządzenia...

    Ogłoszenia Elektronika   23 Paź 2011 19:16 Odpowiedzi: 2    Wyświetleń: 2796
  • Własny zestaw FPGA XILINX

    Z tym, że zastanów się nad kosztami - zawsze wykonanie płytki samemu, skompletowanie elementów wyjdzie Ci drożej (pomijając zdobyte doświadczenie) niż zakup gotowych od producenta, który zapewnia zawsze niskie ceny z zakupów hurtowych i własnych części. W pełni się zgadzam. Sugerowałbym dwa razy zastanowić się przed zamiarem konstruowania własnej płytki...

    Programowalne układy logiczne   23 Lis 2014 18:02 Odpowiedzi: 11    Wyświetleń: 2523
  • [FPGA] - Jaki kompatybilny zestaw i kurs

    Znaczy ogólnie musisz nieco zmienić myślenie, ja myślałem podobnie zaczynając przygodę z tymi cackami. A więc po kolei - VHDL to język opisu sprzętu, jest uniwersalny i w założeniu przenośny (tj. ten sam "kod mogę odpalić" na układzie firmy Lattice, a potem na układzie od Altery). Zatem ucząc się samego VHDL możesz mieć dowolny zestaw, bo jeśli np....

    Programowalne układy logiczne   19 Sty 2015 21:51 Odpowiedzi: 7    Wyświetleń: 2184
  • FleaFPGA Uno - płytka deweloperska z układem FPGA o rozmiarach Arduino UNO.

    Flea Systems zaprezentowała nową płytkę deweloperską opartą o układ FPGA MachXO2 firmy Lattice Semiconductors. FleaFPGA Uno to już druga wersja płyty, która tym razem została wykonana w formie modułu rozmiarami odpowiadającymi Arduino Uno. FleaFPGA Uno to prosta platforma przeznaczona do nauki i implementacji rozwiązań opartych o nowoczesne systemy...

    Newsy   29 Lis 2015 11:40 Odpowiedzi: 0    Wyświetleń: 1887
  • FPGA Labview myRio - Brak kontroli nad częstotliwością sygnału PWM na wyjściu.

    Witam, Jestem w trakcie tworzenia projektu generacji sygnałów PWM z wykorzystaniem układu programowalnego FPGA myRIO 1900. Zrobiłem program generujący sygnały PWM na podstawie porównania przebiegu sinusoidalnego i trójkątnego. Przeprowadziłem testy układu z wykorzystaniem oscyloskopu, i sygnał generowany jest prawidłowo natomiast nie mam pełnej kontroli...

    Programowanie   08 Wrz 2016 08:05 Odpowiedzi: 0    Wyświetleń: 1158
  • [Altera Cyclone V], C - Sterownik oświetlenia sali w języku C na płytkę FPGA

    Witam ;) Mam do napisania program w języku C na płytkę FPGA Altery Cyclone V w środowisku Quartus. Polecenie zadania brzmi następująco: Zaprojektować sterownik oświetlenia sali pracujący zgodnie z założeniami: - sterowanie odbywa sie na podstawie stanu czujników CD sygnalizujących wejście jednej osoby do sali i wyjście jednej osoby z sali sąsiedniej,...

    Programowanie   22 Paź 2016 15:22 Odpowiedzi: 0    Wyświetleń: 690
  • Kto korzystal z opencore dla FPGA

    Witam. Szukam kogos kto ma doswiadczenie z implementowaniem corow ze stronki opencores.org. Problem polega na tym ze nie wiem jak na podstawie istniejacych tam plikow vhdl stworzyc projekt w ProteluDXP. Pozdrawiam

    Programowalne układy logiczne   10 Cze 2005 21:20 Odpowiedzi: 4    Wyświetleń: 1984
  • Filtr FIR w FPGA

    Jeśli znasz przynajmniej podstawy cyfrowego przetwarzania sygnałów, to praktyczna realizacja takiego filtru nie jest zadaniem bardzo skomplikowanym. Jeśli chodzi o książkę, to warto kupić tą droższą, jest to wydanie drugie.

    Mikrokontrolery   23 Sty 2006 19:54 Odpowiedzi: 13    Wyświetleń: 3489
  • FPGA, jak zaczac?

    Skoro jestes w stanie docenic potrzebe poznania ukladow PLD, to zapewne miales juz do czynienia z projektowaniem ukladow cyfrowych. Nie wazne jest czy byl to Verilog czy VHDL czy tez proste laczenie bramek przy pomocy jedynie zdrowego rozsadku. Wazne jest by wiedziec o co w cyfrowce chodzi. IMHO kazda ksiazka ma zady i walety, ale przeczytac nigdy nie...

    Programowalne układy logiczne   26 Sty 2006 00:05 Odpowiedzi: 2    Wyświetleń: 4401
  • Układ FPGA + klawiatura skaningowa.

    Musisz zrobić układ który zainicjuje wyświetlacz LCD a potem skanować klawiature, jeśli wykryjesz naciśnięcie to musisz na podstawie numeru wiersza i kolumny wysłać na wyświetlacz odpowiedni znak (przypisany do klawisza). Całość do napisania nie powinna zabrać jednego dnia, a poniewasz masz płytke na której jest troche inne sprzęta możesz to robić etapami,...

    Początkujący Elektronicy   18 Kwi 2006 17:38 Odpowiedzi: 2    Wyświetleń: 774
  • Xilinx XC4005E (FPGA?) - z czym to sie je?

    Potwierdzam, że to całkiem fajna kostka jak na początek nauki projektowania układów logicznych. Programowanie odbywa się za pomocą JTAG'a budową zbliżonego do programatora STK200. "Programowanie" układów FPGA to przedewszystkim logika Boole'a, tj. bramki, przerzutniki, liczniki itp. Więć musisz się podszkolić w tym kierunku. Do tego układu musisz sobie...

    Mikrokontrolery   16 Maj 2006 13:49 Odpowiedzi: 13    Wyświetleń: 2541
  • FPGA szybki start

    Dzięki za komentarze. Wolałbym też mieć przed sobą jakiś prosty moduł i zacząć obserwować rezultaty programowania w praktyce a nie analizować symulację. Dla mnie jest to bardziej motywujące i popychające do przodu. Nie potrzebuję, żadnego rozbudowanego zestawu uruchomieniowego. Wystarczy sam FPGA oraz układy potrzebne do jego uruchomienia i czyste złącza....

    Programowalne układy logiczne   22 Wrz 2007 18:24 Odpowiedzi: 10    Wyświetleń: 2571
  • vhdl->symulacja a rzeczywistośc uk. fpga (xc3s200)

    Generalnie wszystko ladnie, tylko w twoim kodzie nie podoba mi sie jedna rzecz. Mianowicie sposób w jaki używasz variable, w jednej linii robisz przypisanie: uCDataNew:='1'; uCDataReg:=uCData; a w następnej od wartości pierwszego variable uzależniasz przypisanie drugiego variable do kolejnego rejestru: if uCDataNew='1' then b_uCDR<=(uCDataReg(0)...

    Programowalne układy logiczne   05 Gru 2007 11:40 Odpowiedzi: 7    Wyświetleń: 2733
  • mikrokontroler czy FPGA ?

    A wogóle, to gdzie można przeczytać co jest co? czym sie różni FPGA od CPLD i czym są te GALe i PALe? To by pomogło się za nie zabrać ;). Podstawy elektroniki cyfrowej , Józef Kalisz

    Mikrokontrolery   13 Mar 2017 18:12 Odpowiedzi: 24    Wyświetleń: 6760
  • Zabezpieczenie danych FPGA przed kopiowaniem

    Nie masz do końca racji. To o czym piszesz jest jednym z rozwiązań, ale nie jedynym. Jedno z innych rozwiązań jest takie: do PROMa ładujesz bitstream ale z kodem który nie działa bez autentyfikacji(czyli możesz sobie kopiować bitstream ale nic Ci to nie da), po załadowaniu kodu do FPGA rozpoczyna się proces autentyfikacji czyli FPGA generuje losową...

    Programowalne układy logiczne   19 Lut 2008 12:16 Odpowiedzi: 6    Wyświetleń: 1923
  • Cyfrowa deska rozdzielcza/komputer pokładowy w FPGA

    Brodowski a ty przepraszam kim jesteś że pozwalasz sobie na tak niską ocenie? Co w Twoim mniemaniu jest tu nie tak ?? (Prócz problemów z homologacją) Znajdź mi gościu dzieciaka po technikum ze znajomością VDHL lub innego języka.... nawet asemblera dla 8051 nie nauczą cię w technikum jak sam nie przysiądziesz nawet nie poznasz podstaw! Wiem coś o tym...

    DIY Konstrukcje   10 Wrz 2014 22:52 Odpowiedzi: 72    Wyświetleń: 27018
  • VHDL - Implement.algorytmów mnożenia liczb stało i zmie fpga

    Witam. Dostalem sie do grupy seminaryjnej o nieszczesliwej jak dla mnie tematyce. Za zadanie mam do zrobienia " implementacja prostego algorytmu mnożenia liczb stałoprzecinkowych z wykorzystaniem sumatorów. Uruchomienie i przetestowanie układu w trybie symulacyjnym a następnie na platformie sprzętowej z wykorzystaniem układów Virtex firmy Xilinx ......

    Programowalne układy logiczne   21 Sty 2009 10:48 Odpowiedzi: 2    Wyświetleń: 2124
  • FPGA, Altera - regulowany generator prostokąta

    Dzięki. Będę się starał coś zrobić i pytał jak natknę się na problemy ;) Fprf ma być sygnałem wewnętrznym. Nie mam pojęcie jak można zapewnić regulacje w tego typu układzie, ale postaram się na podstawie jakichś tutoriali nauczyć czegoś. Na koniec dojdzie jeszcze sprawa obsłużenia wyświetlacza również alterą i wyświetlania aktualnych nastaw, nie wiem...

    Początkujący Elektronicy   01 Lut 2009 09:00 Odpowiedzi: 4    Wyświetleń: 1484
  • FPGA i czysta praktyka? szersza wiedza...

    Witam Na poczatek proponuję e-book'a VHDL Cookbook: [url=]Link Jest tam dosyć dobrze opisana implementacja procesora 32 bitowego ( od podszewki ). A jeżeli koledze nie zależy na implementacji wszystkiego od podstaw to polecam PSOC Designer, zawarty w Quartus firmy Altera. Jest tam do dyspozycji procesor NIOS wraz z różnymi peryferiami ( RS232 / Ethernet...

    Programowalne układy logiczne   06 Paź 2009 14:37 Odpowiedzi: 11    Wyświetleń: 2063
  • [FPGA] - Wymiana danych między NIOSem a VHDL

    i dalej tworzenie własnych komponentów . Własne komponenty to podstawa zasadności istnienia NIOS -a

    Programowalne układy logiczne   01 Sie 2010 21:49 Odpowiedzi: 2    Wyświetleń: 1965
  • Jak zacząć z CPLD (FPGA)

    wykład 1 = Verilog podstawy wykład 2 = nieco bardziej zaawansowany VHDL podstawy podstaw VHDLa

    Programowalne układy logiczne   12 Paź 2010 21:41 Odpowiedzi: 16    Wyświetleń: 14405
  • Implementacja FFT na układzie FPGA

    Ja Ci mogę polecić książkę do przetwarzania sygnałów np. Lyonsa, która Ci wyjaśni wszystko czego potrzebujesz w przystępny sposób. Problem, który masz do zrobienia wcale nie jest trywialny i nawet jak znajdziesz gotowca to bez zrozumienia podstaw nie będziesz wiedział jak tego użyć żeby otrzymać to co potrzebujesz. ...skoro transformata Fouriera zwraca...

    DSP i Transmisja   26 Paź 2010 12:00 Odpowiedzi: 2    Wyświetleń: 2264
  • [FPGA][VHDL] Symulacja prostego automatu

    Akurat w ISE jak wybierzesz testbench, to na podstawie słowa klucz CLK, powinien sam wygenerować proces do generacji sygnału zegarowego, taki szablon. Do Ciebie należy tylko podanie sygnałów testowych na wejście Twojego modułu + obserwacja wyjścia/wejść np. w Isim czy Simili :D To tylko zwykły osobny plik .vhdl z obsadzoną Twoją jednostką jako komponent...

    Programowalne układy logiczne   15 Lip 2011 19:05 Odpowiedzi: 32    Wyświetleń: 6527
  • Trudne początki z CPLD/FPGA dla fana C

    Od jakiegoś czasu staram się zapoznać z tematem układów CPLD/FPGA. Poczyniłem pewne kroki w celu zdobycia podstaw teoretycznych za pomocą podlinkowanych tematów. Problemem w zrozumieniu zagadnień stanowi myślenie przesiąknięte programowaniem strukturalnym (lub obiektowym) - generalnie, że można wykonywać jedną rzecz na raz. W związku z tym pojawia się...

    Programowalne układy logiczne   29 Lip 2012 15:29 Odpowiedzi: 6    Wyświetleń: 3063
  • Generator DDS na FPGA

    AVE... Przy 200Mhz wygląda na nadmiar pojemności na wyjściu z przetwornika. Może jakiś bufor i filtr LC dolnoprzepustowy zapodaj? Przydałby się też lepszy kwarc dla PLL, bo każda niedoskonałość kwarcu jest mnożona przez PLL, niestety. Gdyby nie koszta i problemy z tym związane, to kupiłbym sobie rubidową podstawę czasu i na niej robił generator jakiś...

    DIY Konstrukcje   19 Lut 2013 19:01 Odpowiedzi: 25    Wyświetleń: 19701
  • Mobilny dwukanałowy oscyloskop z wykorzystaniem układu FPGA

    Witam! Opisany tutaj projekt jest moją pracą inżynierską na Politechnice Wrocławskiej dlatego nie będzie to opis bogaty w schematy i pliki źródłowe :) Schemat blokowy: ZASILANIE Całe urządzenie zasilane jest z akumulatora Li-ion, który to jest doładowywany przez układ MCP73837, po podłączeniu pod gniazdo USB lub zewnętrzny zasilacz. Proces ładowania...

    DIY Konstrukcje   08 Maj 2013 08:29 Odpowiedzi: 12    Wyświetleń: 22503
  • Sterownik wyświetlaczy LED na układzie FPGA - miniprojekt

    Witam Koleżanki i Kolegów! Jak zwykle projekty, które prezentuję tak i ten w stylu pająka - bo projekt prototypowy. Na zdjęciu od lewej: Zestaw LCMXO2-7000HE-B-EVN wykorzystywany jako programator, płytka prototypowa z układem MachXO2-256 na przejściówce DIP, płytka EvB5.1 firmy And-Tech z procesorem ATMega644p i wyświetlaczem LED Ale do rzeczy: 0....

    DIY Konstrukcje   08 Lip 2013 22:20 Odpowiedzi: 30    Wyświetleń: 22281
  • Ogólne FPGA - Prezentacja danych dot. enkodera obrotowego

    I zrobiłem jak mi doradziłeś. Teraz mamy tak: Enkoder -> debouncing -> dekoder kwadraturowy (2 impulsy odpowiadające krokom w każdym z kierunków) -> pamięć impulsów -> zatrzask -> SPI I dodatkowo każdy impuls generuje IRQ. Procesor teraz przy każdym IRQ odczytuje dane z SPI (tym samym resetując pamięć impulsów) i na podstawie odpowiednich bitów dokonuje...

    Programowalne układy logiczne   27 Cze 2013 19:47 Odpowiedzi: 6    Wyświetleń: 2598
  • Democracy - otwarta platforma na FPGA do przetwarzania audio dla Raspberry Pi

    Co na to Line-6 i ich konkurencja pracująca pod Windowsem i Mac'iem? Ale super dojście do Matlabowskich podstaw brzmienia. Taniej można kupić używkę Line-6 z gotowym paletem brzmień, który brzmi lepiej (nawet taki stary).

    Newsy   11 Gru 2016 12:54 Odpowiedzi: 1    Wyświetleń: 4047
  • Zastosowanie FPGA do szybkich obliczeń

    dziękuje za wszystkie informacje, pomijając wszystkie (ciekawe) pomysły do czego to może służyć, mam pytanie: czy można skonfigurować logikę układów programowalnych w taki sposób aby asynchronicznie otrzymać wynik od razu po ustawieniu na wejściach ( założenie ze ilość wejść/wyjść jest wystarczająca). Czy wielkość zasobów układów do 100...150 zł wystarczy...

    Programowalne układy logiczne   17 Gru 2017 20:07 Odpowiedzi: 28    Wyświetleń: 2733
  • FPGA - podcast #07 elektroda.pl

    Książka opisuje krok po kroku budowanie systemu mikroprocesorowego w oparciu o Nios II - od gołego procesora, poprzez dodawanie GPIO, magistral I2C, SPI, UART, tworzenie własnych modułów sprzętowych (np. driver diod Ws2812, wyświetlacz znakowy z wykorzystaniem monitora HDMI) skończywszy na zagadnieniach związanych z podstawami debuggowania takich systemów...

    Artykuły   22 Paź 2019 20:44 Odpowiedzi: 17    Wyświetleń: 3438
  • FPGA na początek, kilka pytań od "zielonego"

    Do tego mam jeszcze pytanie dotyczące model sima, czy ten symulator uwzględnia wszystkie te opóźnienia? Mam na myśli np. czas propagacji, czas stabilizacji pamięci RAM (dla bloków M4K które układ EP2C5T114 posiada). Czy jeśli wszystko będzie działać w symulacji to oznacza to że powinno również działać w realu jeśli chodzi o sprawy timingu tego wszystkiego?...

    Programowalne układy logiczne   09 Wrz 2019 20:42 Odpowiedzi: 36    Wyświetleń: 2268
  • Konkurs - do czego wykorzystasz FPGA? WYNIKISponsorowany

    Bardzo dziękuję za docenienie mojego pomysłu. Mam nadzieję, że uda mi się go wprowadzić w życie. Z pewnością zarówno płytka jak i książka bardzo mi się przyda, zwłaszcza że to będzie moje pierwsze starcie z FPGA i poniekąd otworzy mi to drzwi do długiej przygody z tą tematyką. Równie tyle co z płytki to cieszę się z książki - zasadniczo nie mam jeszcze...

    Artykuły   16 Gru 2019 18:57 Odpowiedzi: 87    Wyświetleń: 9921
  • FPGA/CPLD Rozbieżność między symulacją a pracującym układem

    Witam serdecznie, Jestem dość początkujący jeśli chodzi o układy programowalne i mam problem polegający na różnicy wyników działania symulacji oraz zaprogramowanego urządzenia. Zaczęło się od tego, że zmontowałem sobie płytkę z cpld xc9572xl, podłączyłem oscylator 40Mhz i zrobiłem dzielnik częstotliwości przez dwa. Układ działa prawidłowo, ale sygnał...

    Programowalne układy logiczne   23 Mar 2020 16:26 Odpowiedzi: 18    Wyświetleń: 1005
  • Generowanie szumu cyfrowego i filtracja w FPGA: Xilinx Artix7 czy Altera Cyclone IV?

    Potrzebne są konkretne liczby. :) Rozdzielczość bitowa próbek, częstotliwość próbkowania, pasmo przenoszenia, tłumienie poza pasmem przenoszenia, stromość zbocza... W tej chwili nie mamy nic na podstawie czego można oszacować wymagane zasoby.

    Programowalne układy logiczne   29 Paź 2020 21:37 Odpowiedzi: 8    Wyświetleń: 720
  • RIGOL DS1052E: Nie działające pokrętła, problem z podstawą czasu i skalą napięciową

    Witam. Mam problem z moim oscyloskopem. Używam go sporadycznie i do dziś nie było z nim problemu. Po włączeniu okazało się że nie mogę regulować podstawy czasu i skali napięciowej na obu kanałach. Nie działało też pokrętło nad "CH1". W Ultrascope wszytko działało ( pomiary były OK). Pomyślałem ze może trzeba wgrać firmware. Mój oryginalny to 00.03.01.00.00...

    Warsztat elektronika   23 Lis 2020 21:19 Odpowiedzi: 2    Wyświetleń: 480
  • Filtracja sygnałów z pomiarów przy użyciu FPGA - eliminacja szybkozmiennych zakłóceń

    Mam sygnał z pomiarów wielkości, która zmienia się powoli, na który nakładają się szybkozmienny zakłócenia. Potrzebuję pomysłu na prosty (bo do zaimplementowania w FPGA z oszczędzaniem zasobów) sposób eliminacji tych zakłóceń. HDL Coder z pakietu Matlab generuje VHDL na podstawie wyklikanego filtra. Dodatkowo w pakiecie są narzędzie które potrafią...

    DSP i Transmisja   23 Paź 2021 09:55 Odpowiedzi: 27    Wyświetleń: 816
  • Ekonomia rynku układów FPGA a technologia firmy Efinix

    Dzisiejszy świat jest pełen zaawansowanych technologicznie gadżetów o coraz bardziej złożonych możliwościach, które kiedyś byłyby tematem z cyklu science fiction. Kiedy korzystamy z naszych urządzeń i robimy zdjęcia w wysokiej rozdzielczości za pomocą telefonów komórkowych, niewielu z nas zastanawia się nad podstawową technologią. Jeszcze mniej osób...

    Artykuły   10 Sty 2022 21:17 Odpowiedzi: 15    Wyświetleń: 1479
  • Kalkulator seria 74xx/ od podstaw, pomoc w konstrukcji i zasadzie działania

    AVE... Ja bym radził zacząć od zrobienia układu w jakimś symulatorze, może być nawet jakieś środowisko do projektowania FPGA/CPLD, zwykle pozwalają na tworzenie logiki w formie schematu i na symulowanie jej w ten sposób. Są też różne darmowe i płatne programy specyficznie przeznaczone do symulacji układów cyfrowych i częstokroć też analogowych. Plusem...

    Początkujący Naprawy   06 Maj 2014 15:39 Odpowiedzi: 4    Wyświetleń: 1233
  • ISM 869MHz - Budowa odbiornika od podstaw.

    Witam Jestem studentem Elektroniki i od jakiegoś czasu ciągnie mnie do Techniki Radiowej. Wyposażony w wiedzę z wykładów i laborek postanowiłem zbudować pierwszy odbiornik trafiło na pasmo ISM 869 MHz gdyż mam już moduł nadajnika i będę miał jak testować czy odbiornik działa poprawnie. Jako że taki odbiornik jest rzeczą dość skomplikowaną. Pomyślałem...

    Radiotechnika Początkujący   07 Lis 2014 21:23 Odpowiedzi: 8    Wyświetleń: 1287
  • Elektronika naprawdę dla każdego - nauka elektroniki od podstaw (pomysły i ścieżki rozwoju)Sponsorowany

    Amatorsko, czyli nie zawodowo (profesjonalnie) można zajmować się wszystkim na co człowieka stać i na co ma warunki. Mogą to być rzeczy bardziej zaawansowane niż w w krajowych firmach czy nawet na większości uczelni. Ale przecież nie o to chodziło. Chodzi o start w hobby, proste rzeczy, naukę od podstaw. I tu uważam nie zmieniło się prawie nic, bo podstawowe...

    Początkujący Elektronicy   27 Lis 2023 15:33 Odpowiedzi: 250    Wyświetleń: 10467
  • [FPGA] - Pytanie odnośnie podstaw FPGA

    Zasadnicza różnica : Z FPGA możesz zrobić sobie tego ARM'a. Z ARM'a nie zrobisz FPGA. To nie takie proste i nieopłacalne :) Zarówno FPGA i procesory mają swoje zalety i wady. Najlepsze efekty można osiągnąć łącząc ze sobą procesor i FPGA - wtedy można wykorzystać zalety obu rozwiązań. Kiedyś popełniłem artykulik, który opisuje do czego służą układy...

    Programowalne układy logiczne   07 Lut 2014 21:55 Odpowiedzi: 7    Wyświetleń: 3222
  • Początki z FPGA (podstawy, programowanie, środowiska, ciekawe projekty)

    Co prawda już leciwa ale podstawy się nie zmieniają: I cała masa książek opisujących cyfrowe zagadnienia elektroniki które też tu będą potrzebne. tu powyżej masz te zdjęcie w prezentacji i odnośniki do literatury.

    Programowalne układy logiczne   29 Paź 2019 12:15 Odpowiedzi: 6    Wyświetleń: 1053
  • Active HDL i co dalej?

    a dla opanowania podstaw polecam

    Programowalne układy logiczne   24 Kwi 2008 22:26 Odpowiedzi: 7    Wyświetleń: 6911
  • Xilinx wypuszcza Vitis HLS jako open source

    Programowanie procesora to dobrze znany proces. Nawet programowanie procesorów graficznych stało się łatwiejsze dzięki frameworkom, takim jak CUDA czy OpenCL firmy Nvidia. Jednak programowanie macierzy bramek programowalnych - układów FPGA - zawsze było uważane za zadanie dla projektantów układów scalonych, a nie typowych programistów. Łańcuch narzędzi...

    Newsy   31 Mar 2021 23:20 Odpowiedzi: 2    Wyświetleń: 951
  • System scalający wideo z dwóch kamer w celu uzyskania obrazu 3D

    Wstęp Systemy wideo, niesamowicie popularne w urządzeniach na rynku konsumenckim, zdobywają coraz większe uznanie w urządzeniach użytkowanych w aplikacjach przemysłowych, na rynku motoryzacyjnym i w systemach automatyki przemysłowej. Wzrost udziału tych systemów w wymienionych aplikacjach związany jest mocno z wprowadzeniem standardów HDMI oraz z zwiększoną...

    Artykuły   07 Maj 2014 13:25 Odpowiedzi: 2    Wyświetleń: 6987
  • Dlaczego w LG 84LM960V-ZD nie ma obrazu i jak to naprawić?

    Witam. Telewizor nie wyświetla treści obrazu. Reaguje na komendy z pilota jak i z przycisków lokalnych. Po podaniu sygnału HDMI jest dźwięk ale brak obrazu. Po uruchomieniu telewizora podświetlanie matrycy działa widać jak reguluje jasność. Prawdopodobnie od czasu do czasu obraz wracał. Mi niestety nie udało się jeszcze trafić na powrót treści obrazu....

    TV Początkujący   13 Lut 2022 21:33 Odpowiedzi: 15    Wyświetleń: 2823
  • Architektura RISC-V i narzędzia jej dedykowane - wprowadzenie

    Ostatnie newsy nie kłamią - wszyscy mówią o procesorach RISC-V, nowej otwartej architekturze etc. Ale o co w sumie chodzi? Jeżeli przespałeś ostatnie pół roku, to artykuł ten może być dla Ciebie - przyjrzymy się poniżej z czym w zasadzie jest związane popularne hasło RISC-V oraz jakie obecnie dostępne są narzędzia dla projektantów, chcących wykorzystać...

    Newsy   29 Mar 2019 11:48 Odpowiedzi: 0    Wyświetleń: 759
  • Izolowany układ do pomiaru prądu w instalacjach fotowoltaicznych

    Na poniższym obrazku pokazany jest schemat kompletnego izolowanego systemu do pomiaru prądu dedykowanego między innymi do aplikacji fotowoltaicznych. Proponowany układ charakteryzuje się wysoką niezawodnością i może zostać umieszczony blisko rezystora pomiarowego w systemie. Dzięki izolacji galwanicznej możliwe jest bezpośrednie podłączenie wyjścia...

    Artykuły   14 Lis 2013 14:35 Odpowiedzi: 0    Wyświetleń: 8676
  • LabVIEW - przeszłość i przyszłość według twórcy systemu

    LabVIEW to znane wielu inżynierom środowisko programowania w graficznym języku G, dedykowane głównie do zastosowań w systemach laboratoryjnych i kontrolno-pomiarowych. Wiele razy pisaliśmy już na forum m.in. o warsztatach i szkoleniach związanych z tym środowiskiem. Teraz, z okazji przyznania nagrody National Inventors Hall of Fame, przytaczamy wywiad,...

    Newsy   24 Mar 2019 10:53 Odpowiedzi: 0    Wyświetleń: 1461
  • SmartDV rozszerza swoją linię kontrolerów pamięci IP

    Firma SmartDV Technologies zaprezentowała nową linię kontrolerów pamięci, używaną do szybkich ukłądów, w tym HBM2/2E, HBM3, GDDR6 i LPDDR4/5. Rozszerzyła także obsługę kontrolerów pamięci Flash o dodatki dla szeregowych kontrolerów pamięci Flash, XSPI i ósemkowych kontrolerów SPI, wzmacniając i tak już szerokie portfolio projektowanych modułów IP....

    Newsy   06 Maj 2020 11:27 Odpowiedzi: 0    Wyświetleń: 393
  • Jak zrobić własny procesor np. w technologii 1000nm?

    Faby, które tworzą scalaki to fabryki chemiczne, które na podstawie dostarczonego projektu od projektanta, poddają taki wafel krzemowy wielotygodniowej skomplikowanej obróbce, tworząc warstwa po warstwie z wykorzystaniem procesu fotolitografii i bardzo żrących i niebezpiecznych substancji. Ten etap to bardziej działka chemików a to forum raczej takimi...

    Początkujący Elektronicy   10 Maj 2022 11:51 Odpowiedzi: 33    Wyświetleń: 1161
  • Jaki układ możecie mi polecić?

    Witam, Pisząc krótko: studiuję informatykę, miałem tylko podstawy układów cyfrowych na uczelni, aczkolwiek bardzo zafascynowały mnie układy FPGA. Podoba mi się w nich to, że w niektórych zastosowaniach wielokrotnie przewyższają wydajnością standardowe procesory. Czy możecie mi polecić jakiś gotowy zestaw? W sumie najbardziej zależy mi na dużej ilości...

    Programowalne układy logiczne   13 Mar 2008 21:56 Odpowiedzi: 4    Wyświetleń: 1557
  • Co do dość szybkiego zbierania danych?

    Hej, ADC 65MS/s z wyjściami szeregowymi wymagałoby taktowania 1GHz, więc pewnie co wolniejsze FPGA (np. z zegarem 250MHz) nie dałyby rady. Trzeba pamiętać o tym, że wiele układów FPGA ma wbudowane transceivery właśnie do obsługi tego typu transmisji, które mogą dokonywać deserializacji bardzo szybkich danych szeregowych. W ogóle takie zastosowanie,...

    Programowalne układy logiczne   13 Kwi 2018 23:36 Odpowiedzi: 26    Wyświetleń: 1947
  • [RISC-V]Doświadczenia z architekturą RISC-V vs ARM - Digilent Arty A7

    Jeśli ma kolega przebudować rdzeń ARM i go opisać w FPGA to koszta wyjdą podobne jak wykorzystanie rdzenia RISC-V. Dodatkowo RISC-V jest otwartym projektem więc nie potrzebana jest tak naprawdę licencja. I o co chodzi z projektowaniem krzemu? Możesz rozwinąć myśl? Bo mi się wydawało, że rozmawiając o RISC-V rozmawiamy o modelu programowym opisanym...

    Projektowanie i Tworzenie Po godzinach   15 Maj 2019 23:26 Odpowiedzi: 37    Wyświetleń: 2448
  • Sprzętowe systemy bezpieczeństwa w sieciach przemysłowego Internetu Rzeczy

    Trend Industry 4.0, który obejmuje digitalizację fabryk, może oznaczać wiele różnych rzeczy dla organizacji w sektorze rynku przemysłowego. Implikacje digitalizacji mogą mieć ogromny wpływ na bezpieczeństwo cybernetyczne, gdy urządzenia przemysłowe stają się inteligentne i ze sobą połączone. Może to na przykład oznaczać przekształcenie fabryki w celu...

    Artykuły   25 Paź 2019 12:26 Odpowiedzi: 0    Wyświetleń: 936
  • Podaż wojskowych układów scalonych w USA niebezpiecznie niska

    Gdyby w następnej dekadzie wybuchła wojna na Tajwanie, zdolność Departamentu Obrony Stanów Zjednoczonych do skutecznego reagowania byłaby zagrożona przez obecny konflikt rosyjsko-ukraiński, konkurencję ze strony komercyjnych nabywców chipów i nadmierne poleganie na azjatyckich dostawcach półprzewodników. Według znawców branży i obserwatorów rządowych,...

    Newsy   15 Lut 2023 15:43 Odpowiedzi: 0    Wyświetleń: 537
  • a/c w vhdl

    Po wpisaniu 8051 vhdl znalazłem kilkadziesiąt linków. :idea: Teraz nie rozumiem jaki związek z wielkością bloku mają makrokomórki i bramik które zawiera jeden układ fpga. Może znacie jakiś układ Atmela na podstawie którego mógłby mi ktoś wytłumaczyć o co chodzi z tymi makrokomórkami. np. blok 8051 dla przykładu założe że wymaga 700bramek żeby go zrealizować...

    Mikrokontrolery   13 Lip 2004 16:51 Odpowiedzi: 17    Wyświetleń: 1827
  • Novena - projekt OpenSourcowego laptopa

    W dobie totalnej inwigilacji, gdy co chwilę wyciekają informacje, że amerykańska agencja bezpieczeństwa NSA zbiera wrażliwe informacje, pada pytanie o bezpieczeństwo używanego przez nas sprzętu. Wiele firm pracuje nad tym by oprogramowanie instalowane w naszych komputerach było bezpieczne. Można tu wspomnieć zeszłotygodniową akcję firmy Mozilla, która...

    Newsy   21 Sty 2014 23:17 Odpowiedzi: 3    Wyświetleń: 1419
  • Radio zdefiniowane programowo (bez irytującego RF) – Część 1

    Autor tego cyklu artykułów potrzebował urządzenia komunikacyjnego do przesyłania kilku bajtów co godzinę z małego, odłączonego od sieci systemu fotowoltaicznego do warsztatu oddalonego o około 50 metrów. Pierwszą myślą było Wi-Fi, ale ma on już dziesiątki sprzętów do niego podłączonych, a utrzymanie ich wszystkich w działaniu jest bardzo żmudnym zadaniem....

    DIY Zagranica   07 Mar 2024 05:56 Odpowiedzi: 7    Wyświetleń: 1755
  • Jak stworzyć prosty procesor 4,8 bit samodzielnie?

    przychodzę z pytaniem jak stworzyć procesor w domu Ma to być procesor czy mikroprocesor? Procesor możesz zbudować z układów logicznych a nawet z tranzystorów, lamp czy przekaźników. Mikroprocesor - czyli taki procesor z cała logiką zamknięta w jednym układzie scalonym - to raczej w domu nie osiągalne bo wymaga opanowania technologii produkcji układów...

    Początkujący Elektronicy   04 Gru 2022 01:58 Odpowiedzi: 14    Wyświetleń: 213