REKLAMA

projekty uklady

Znaleziono około 333 wyników dla: projekty uklady
  • Wzmacniacz 1000W MOSFET całkiem symetryczny - nowy projekt.

    gdziesz bym smial krytykowac legendarny haj-endowy wzmacniacz. Ja raczej krytykuje Twoje przerobki :) Musze napisac jeszcze raz to samo bo chyba juz nie czytasz tego co pisze: Owszem wzmacniacz roznicowy z pradem 1,5mA nie wysteruje tranzystora sredniej mocy do pradu 500mA dlatego miedzy tymi stopniami mozna dodac wtornik emiterowy a ty dodales jeszcze...

    Projektowanie Układów   12 Lut 2007 21:52 Odpowiedzi: 42    Wyświetleń: 15700
  • REKLAMA
  • Wzmacniacz na BC 211 - projekt na laborke POMOCY

    Witam Mam laborke z ukladow elektronicznych i sam musze zaprojektowac uklady. Jednym z nich jest wzmacniacz na tranzystorze BC 211. Dodatkowe dane: rezystancje od 100 Ω do 2,2 MΩ, pojemnosci do jednego µF, parametr h11e = 1kΩ a wzmocnienie ma byc 25x a drugi przy takich samych parametrach ale przy wzmocnieniu 80x. Jestem zupelnie...

    Początkujący Elektronicy   23 Lut 2006 19:16 Odpowiedzi: 1    Wyświetleń: 1409
  • Projekt płytki, opis i schemat do holton 200 altron al-35

    Praktycznie 100% ukladow ktore sie nie uruchomily to bledy w montazu!! Brak pradu spoczynkowego nie powoduje nie dzialania wzmacniacza bo bez pradu tez bedzie gral co mozna sprawdzic podlaczajac sygnal i glosnik najlepiej dla bezpieczenstwa pzerz jakis rezystor, oczywiscie najpierw sprawdzamy miernikiem czy nie ma napiecia stalego na wyjsciu. No i niestety...

    Projektowanie Układów   08 Lut 2024 22:52 Odpowiedzi: 803    Wyświetleń: 202425
  • Multimetr do 500zł - od Arduino do bardziej złożonych projektów

    W takim razie proszę o wskazanie który lepszy w zakresach pomiarowych do 24V. Będę mierzył pewnie na początku wartości napiecia i natezenia na plytkach Arduino i tworzył rozne uklady. Wyborl padl: - BM257s () lub -BM235 () czy moge prosic o porownianie danych technicznych i wskazanie lepszego do moich potrzeb. Najlepiej pokazanie dlaczego to bym się...

    Warsztat co kupić?   18 Wrz 2016 22:53 Odpowiedzi: 7    Wyświetleń: 2511
  • REKLAMA
  • Projekt miernika mocy z 3 przekładnikami prądowymi TA20-100 dla silnika DC 7500W, ADC STM32

    Projektuję moduł miernika mocy na trzech przekładnikach prądowych do silnika DC 7500W. Trzy przekładni prądowe będą umieszczone przed mostkiem prostowniczym 3F oraz przed regulatorem obrotów na triakach...... Ambitne zadanie sobie dałeś, oczywiście można sobie zrobić wszystko na piechotę ale jakość pomiaru będzie taka sobie, profesjonalnie każdy kanał...

    Projektowanie i Tworzenie Po godzinach   27 Lut 2023 16:58 Odpowiedzi: 19    Wyświetleń: 1077
  • Projekt hybrydowego przedwzmacniacza lampowego z filtrem loudness

    Witam, to moze niebierz sie za nieco skomplikowane uklady, w tym dziale sa prostrze uklady: gdzie masz latwiejsze rozdzeilenie na przedwzmacniacz i stopien mocy. Jezeli zostajesz przy tym ukladzie to: - interesuje cie pierwsza lampa to jest stopien wstepny i loudness - potem masz korekcje barwy, z tego co widze tony wysokie sa w sprzezeniu zwrotnym,...

    Elektronika Retro   24 Lip 2020 18:02 Odpowiedzi: 18    Wyświetleń: 1380
  • Piecyk gitarowy, kilkadziesiąt W, półprzewodniki - projekt

    Czołem, jeśli ma to być coś w formie zestawu DIY to może coć z tego: Pozdrawiam, Jacek Zieliński

    Audio Nagłośnienie Domowe   28 Cze 2011 13:14 Odpowiedzi: 9    Wyświetleń: 3971
  • przedwzmacniacz 300mV ---> 3V...potrzebny projekt

    Chyba pomyliłeś się w jednostkach. Zamiast mV ma być: mW , w końcu Dodano po 6 Jeśli chodzi o TDA to można: TDA7056A 3W (mono) TDA7057Q 2X3W (stereo)

    Początkujący Elektronicy   05 Sty 2007 23:31 Odpowiedzi: 3    Wyświetleń: 1176
  • Projekty dla początkującego elektronika

    Proszę nie wysyłać schematów bo nic z nich nie rozumiem. Wybacz kolego - nie ta kolejność. Najpierw uczymy się czytać schematy. (chyba że chcesz tylko odwzorowywać to co ktoś wymyślił a każdy błąd w montażu stanie się barierą nie do przeskoczenia) [url=] [url=] Następnie (albo równolegle) opanowujemy najprostszy multimetr z castoramy. Uczymy się mierzyć...

    Początkujący Elektronicy   12 Cze 2018 23:32 Odpowiedzi: 7    Wyświetleń: 507
  • REKLAMA
  • Początki z FPGA (podstawy, programowanie, środowiska, ciekawe projekty)

    Co prawda już leciwa ale podstawy się nie zmieniają: I cała masa książek opisujących cyfrowe zagadnienia elektroniki które też tu będą potrzebne. tu powyżej masz te zdjęcie w prezentacji i odnośniki do literatury.

    Programowalne układy logiczne   29 Paź 2019 12:15 Odpowiedzi: 6    Wyświetleń: 1053
  • Projekt z techniki cyfrowej (CSMA/CD)

    Witam, mam zrobić na zaliczenie projekt z techniki cyfrowej. Muszę zaprojektować jakiś układ sterowania -sieć działań około 10 klatek war. i operac. i musi byc układ przetwarzania danych. Projekt będe realizował w Active HDL-u (vhdl) i implementował w FPGA. I mam pare pytań w zwiążku z tym: Czy mechanizm CSMA/CD nie będzie za trudny do zrealizowania?...

    Początkujący Elektronicy   21 Paź 2005 13:44 Odpowiedzi: 0    Wyświetleń: 921
  • Projekt układu sterowania (CSMA/CD)

    Witam, mam zrobić na zaliczenie projekt z techniki cyfrowej. Muszę zaprojektować jakiś układ sterowania -sieć działań około 10 klatek war. i operac. i musi byc układ przetwarzania danych. Projekt będe realizował w Active HDL-u (vhdl) i implementował w FPGA. I mam pare pytań w zwiążku z tym: Czy mechanizm CSMA/CD nie będzie za trudny do zrealizowania?...

    Mikrokontrolery   21 Paź 2005 15:54 Odpowiedzi: 0    Wyświetleń: 888
  • Projekt z Pracowni elektrycznej i elektronicznej + jak??

    Siemka mam taki temat na projekt: Projekt realizacji prac zwiazanych z pomiarem wielkosci elektrycznych w obwodach pradu stalego i zmiennego. Zapoznanie z przyrzadami pomiarowymi. I. Podzial przyrzadow pomiarowych a) analogowe b) cyfrowe II. Oznaczenia i symbole przyrzadow III. Proste uklady pomiarowe iV. Wnioski Mam wykonac taki projekt, jednak nie...

    Początkujący Elektronicy   02 Paź 2006 20:12 Odpowiedzi: 3    Wyświetleń: 1675
  • nadajnik/odbiornik radoiwy a uklady kodujace

    a wystarczyło pomyśleć, poszukaj na www.elektoda.pl w różnych działąch, szczególnie Samochód projektów z koncówką "radiowy" lub "kodowany" może być nawet podczerwień ;)

    Radiotechnika Serwis   13 Sty 2004 20:42 Odpowiedzi: 2    Wyświetleń: 1277
  • REKLAMA
  • Potrzebny projekt wzmacniacza mocy m.cz 40W

    Hej dzięki. Ale te rozwiązanie odpada. Musi to być prosty wzmacniacz na samych tranzystorach, i do tego jeszcze najlepiej wzory jak się co oblicza. Ponieważ jest to projekt na zalizenie przedmiotu "uklady elektroniczne" Taki projekt jest policzony w książce Feszczuka, ale nie wszystkie elementy sa policzone.

    Początkujący Serwisanci   20 Sty 2006 22:08 Odpowiedzi: 9    Wyświetleń: 2246
  • Uklady dla czujnikow ultradźwiekowych

    zobacz tą stronę jest kilka projektów

    Automatyka Przemysłowa   17 Kwi 2007 17:52 Odpowiedzi: 1    Wyświetleń: 761
  • Projekt- Stykowy układ sterowania

    Witam, jestem w technikum, profil. Mechatronik. Potrzebuje zaprojektować sterowanie sekwencyjne układu wykonującego następujące czynności: 1)po pojawieniu sie przedmiotu- chwyta 2)podnosi do gory 3)przeuswa w prawo 4)opuszcza element 5)puszcza element 6)powrot do gory 7)wraca w lewo 8)do dolu 9)kolejny cykl. W projekcie należy dobrać elementy sterujące...

    Automatyka Przemysłowa   02 Gru 2007 13:44 Odpowiedzi: 3    Wyświetleń: 2411
  • [Zrobię] uklady oparte o avr/stm (za darmo)

    Witam W październiku będę studentem II roku AiR. za darmo tj. w cenie elementów zaprojektuje, wykonam i zaprogramuje układ oparty o AVR/STM. Nie jestem bardzo zaawansowany, ale juz kilka projektów zrobiłem (robot linefollower, stacja lutownicza i kilka mniejszych). Projektuje w eagle, wykonuje jedno/dwustronne metodą termotransferu. chętnie przyjmę...

    Projektowanie Bazar   12 Lip 2013 01:51 Odpowiedzi: 0    Wyświetleń: 1308
  • XILINX ISE - Jak zrobić projekt z dwoma układami.

    Witam. Jak zrobić projekt w którym będą 2 uklady? (w tym przypadku 2 takie same cpld) Mogę przełączać spobie TopLevel module, i generować/syntetyzować kod dla innego modułu, ale jest to jedynie obejście problemu. A chciałbym mieć możliwość zrobienia tego automatycznie bez twożenia drugiego projektu.

    Programowalne układy logiczne   20 Kwi 2015 13:21 Odpowiedzi: 2    Wyświetleń: 1455
  • Potrzebuje pomocy w zrobieniu projektu automatycznej sekreta

    Problem w tym, że miałem pecha i trafił mi sie taki projekt akurat,a dodam że studiuje na PWR wydział:Elektronika i telekomunikacja i tu najważniejsze SPECJALNOŚĆ: SIECI KOMPUTEROWE. Technikum skończyłem energetyczne więc nie mam pojęcia w tym temacie. Ach ten przedmiot przenieśli nam z 4 na 2 rok i sam wykładowca mówił że nie jesteśmy do tego przygotowani...

    Telefony Stacjonarne Serwis   03 Mar 2005 18:29 Odpowiedzi: 14    Wyświetleń: 1914
  • projekt - jego ocena :)

    Witam Po długich zmaganiach z moim projektem nastał chyba koniec. Bardzo prosze szanownych uzytkowników o ocene tego co zrobiłem. Jesli sa jakieś małe niedociągnięcia to prosze krytykować :) Przedstawie może problem mam zrobić układ nadawczy do światłowodu. W ukladzie tym sa dwie diody LED o spadku napiecia 3.5V (RTL350-30) kazda. Poniewaz niemialem...

    Projektowanie Układów   31 Maj 2005 14:24 Odpowiedzi: 5    Wyświetleń: 1056
  • Projekt z mechatroniki pomocy!!!

    Silniczek moze byc na 12V Najlepiej silnik zamodelowany z ukladow TTL (bramek, przerzutnikow itd.), cewek i diod. Uklady takie jak komperator, prostownik,przekladnia tez powinny byc raczej zlozone z prostych ukladow ( mozliwoci programu MULTISIM sa ograniczone;) ) Jezeli chodzi o zatrzymanie silnika to tu jest problem silnik powinie na biezaco " otrzymywac...

    Początkujący Elektronicy   08 Cze 2005 12:01 Odpowiedzi: 5    Wyświetleń: 3465
  • Projekt w Simulinku - problem

    Witam mam do wykonania projekt w simulinku a mianowicie wykonac ocene efektywnosci ukladu regulacji cyfrowej predykcyjnej, stworzyc model w simulinku I rzedu z opoznieniem Kz(s)=Kz* e^-esT/1+sT w literaturze Jerzego Brzozki regulatory i uklady autoamtyki malo jest na ten temat napisane.Czy ktos moglbby mi pomoc. Z gory dziekuje

    Automatyka Przemysłowa   13 Gru 2005 19:52 Odpowiedzi: 9    Wyświetleń: 1112
  • Projekt prostego zasilacza

    Witam, Mam kilka pytan dotyczacych projektowania zasilacza (dokladnie chodzi o symetryczny zasilacz np na 5 lub 9 V z regulowana stabilizacja pradowa w zakresie np 100mA - 1,5 A). Przejrzalem juz kilkanascie stron z wyszukiwarki elektrody dotyczacych zrodel pradowych/napieciowych jednak ciagle "nie widze" rozwiazania dla siebie :( A oto i pytania :...

    Początkujący Elektronicy   29 Gru 2006 22:30 Odpowiedzi: 6    Wyświetleń: 2430
  • problemy z projektem z ukladow elektronicznych :-)

    Witam. Mam pewne problemy jezeli chodzi o uklady elektroniczne :-). Na jutro mam zaliczenie poprosze pomozcie :-) Projekt ma wygladac mniej wiecej nastepujaco: Mam polaczyc uklad dzwiekowy ze swietlnym. W tym porjekcie chodzi o to,ze w momencie gdy jakis czlolowiek bedzie go obslugiwal, powiedzmy zobaczy czerwona lampe i wciska guzik. Jak za pozno go...

    Projektowanie Układów   29 Maj 2007 11:24 Odpowiedzi: 1    Wyświetleń: 897
  • Wzmacniacz klasy D w EdW oraz inne projekty klasy D.

    uklady samooscylujace i inne ze zmnienna czestotliwoscia (np moj) nie moga pracowac w mostku analogowych czyli dwa kompletne wzmacniazce zmostkowane ale moga pracowac z ukladem wykonawczym w ukladzie mostka bo wtedy oscylator jest jeden. Uklady UCD sa mocno podejzane ze wzgledu na ten tranzystorowy driver ktory na pewno nie dziala tak jak nalezy. Zreszta...

    Projektowanie Układów   11 Lis 2011 11:02 Odpowiedzi: 429    Wyświetleń: 157324
  • AVR pomoc w ocenie projektu [pomysłu]

    moim zdaniem mialo by to sens. Tylko nalezalo by sprawdzic czy zaoszczedzenie energi bedzie tak duze, ze jest ten uklad wart montowania. Przeciez kazdy tv itp. po przejsciu w tryb stand by ma w sobie uklad ktory kontroluje czy nie zostal wcisniety jakis guzik na pilocie. A kolega chce to zastapic jednym takim modulem wiec sens to jakis ma :D Tylko mozliwe...

    Mikrokontrolery AVR   02 Mar 2009 10:43 Odpowiedzi: 11    Wyświetleń: 1916
  • Projekt i budowa zdalnie sterowanego pojazdu

    Witam. Sam wykonałem takie pojazd dosyc niedawno bo obrana juz niedługo... nie moge zdradzic całych szczegolów ale taki projekt musisz sporo przemyslec.. tym bardziej silniki wage oraz zasilanie. Bo od tego zalezy wszystko. Podgladowo wrzucam fotki. Waga calosci ok 15 kg ;) A i najwazniejsze.. do sterowania silnikow mostek h ale nie zlozony z przekaznikow....

    Robotyka Modelarstwo i CNC   19 Cze 2014 20:46 Odpowiedzi: 4    Wyświetleń: 2544
  • Projekt regulacji oświetlenia

    A ja się głowię, jak ci wytłumaczyć taki projekt. W takim razie posłużę się gotowcem... Przykładowo sterowanie firmy Zumtobel: Produkt: DIMLITE [url=]Link Działa na zasadzie: Kontroler systemu DALI podłączony do opraw ze statecznikami w sytemie DALI. Do tego dochodzi czujnik oświetlenia podpięty do sterownika DALI. Reszta to tylko kwestia programowa....

    Początkujący Naprawy   13 Lut 2015 23:04 Odpowiedzi: 30    Wyświetleń: 2472
  • Projekt wzmacniacza przeciwsobnego na lampach EL84

    Zmiany w stosunku do porzedniego schematu: Wiekszy prad anodowy w stopniu wejsciowym. okolo 2 mA. Konsekwencja jest praca w bardziej prostoliniowych odcinkach charakterystyk lamp EF80 i ECC83. Dodatkowo zyskujemy wieksza transkonduktancje pentody EF80, czyli czulosc stopnia wejsciowego. Kolejne udoskonalenie to dodatkowa trioda wlaczona w polaczeniu...

    Elektronika Retro   29 Paź 2003 20:44 Odpowiedzi: 7    Wyświetleń: 9918
  • prosty projekt

    Czesc. Uklady nie musza ze soba wspolgrac ,ale nic by nie szkodzilo gdyby tak bylo. Mam samemu wybrac sobie wszystko, czyli Uwe np. 220V, jaki mostek prostowniczy (typ,rodzaj, rezystancja diod, oznaczenia mostka - by mogl prcowac na 220V ) jaki kondensator (dane podobnie) w koncu obciazenie niewiem najlepiej do obciazenia podlaczyc stabilizator parametryczny....

    Projektowanie Układów   07 Sty 2004 02:46 Odpowiedzi: 5    Wyświetleń: 3012
  • projekt - sterownik uP (timer)

    Przygotuj sobie wpierw schemat elketryczny np. w eaglu. Co do mojego ukladu, to chodzilo mi zebys wiedzial jak uzyskac odpowiedznie sygnaly na wyjsciu, czyli uklady ULN i przekazniki jako izolacja glawaniczna. Przy czujnikach trransoptory z bramkami schmitta. Mozesz zredukwoac to do liczby 1 ,ale idea sie przeciez nie zmieni.

    Mikrokontrolery   02 Lis 2004 17:57 Odpowiedzi: 6    Wyświetleń: 1104
  • Układy kombinacyjne. Projekt płytki do badania układów.

    skoro tak , to mozesz wpakowac uklady z podstawowymi bramkami (NOT, NAND, NOR, EXOR,..) na wejsciach przelaczniki + LEDy (ustawianie wejsc) i na wyjsciach LEDy (stan wyjsc) z mozliwoscia laczenia ze soba poszczegolnych bramek.

    Początkujący Elektronicy   31 Mar 2005 18:42 Odpowiedzi: 10    Wyświetleń: 2730
  • Protel DXP: 1 projekt kilka schematów,kilka płytek i problem

    Oki to juz zrobilem. Jeszcze tylko jedno lamerskie pytanie . Mam blok zasilania +5V jak zrobic by automatycznie podpiac do niego wszystkie uklady scalone??

    Projektowanie PCB   26 Wrz 2005 20:16 Odpowiedzi: 7    Wyświetleń: 2474
  • Projekt technika cyfrowa!!! ZApłace za zrobienie!!

    Jak rozumiem "pi" u Ciebie oznacza logiczne AND. Takie uklady realizuje sie m.in. na bramkach AND i/lub OR. Do optymalizacji funkcji uzywa sie map karnaugh wiec proponuje zainteresowac sie tym haslem w google (dla 4 zmiennych te mapy sie robia troche pokrecone ale daje sie to zrobic). Kiedys mialem nawet programik do obliczania optymalnej postaci funkcji....

    Ogłoszenia Elektronika   20 Paź 2005 07:29 Odpowiedzi: 3    Wyświetleń: 1470
  • Projekt PSpice wpływ temp. na charakt statyczne tranzystora

    mam wlasnie podobny problem do qbika tylko ze w moim przypadku roznica polega wlasnie na obszarze Wspolnego Emitera a nie Wspolnej Bazy. Czy takie uklady jakie sa tutaj podane powyzej nadaja sie do pomiaru wplywu temp. na char. w obszarze WE?? co nalezaloby ewentualnie zmodyfikowac w obwodzie ,polozenie wymuszen czy polozenie markera czy moze zupelnie...

    Początkujący Elektronicy   29 Maj 2006 23:08 Odpowiedzi: 19    Wyświetleń: 5532
  • Problem - szukam jednego projektu - bez rezultatów ! ! !

    witam jak sie domyslam to tym potencjometrem chcesz regulowac obroty silniczka a czy nie lepiej zrobic samemu taki maly zasilacz z jakoms regulacjom mysle ze by cie to taniej wynioslo albo wyciognij z jakegos starego magnetofonu regulacje obrotuw tam som juz w silniczkach nontowane takie uklady pod dekielkiem i wyciognosc niema problemu

    Optoelektronika   21 Sty 2006 14:44 Odpowiedzi: 8    Wyświetleń: 1179
  • Zróbmy sobie wzmacniacz lampowy. Projekt od podstaw.

    Wlasie o to mi chodzi zrozumiec wszysto(no prawie wszystko), jak czytac ch-ki, jak budowac uklady, punkty pracyitd. Chdzi o to ze rzenujace jest dla mnie kopiowanie caly czas kogos. chcailbym powiedziec ze caly wzmacniacz zbudowalem sam a nie skopiowalem. Dodano po 3 Wiec zacznijmy od tego jaki wzmacniacz czy Hi-Fi czy gitarowy ??

    Elektronika Retro   24 Mar 2006 22:03 Odpowiedzi: 43    Wyświetleń: 10673
  • Projekt - komputer z TTL - potrzebne schematy, pomysły

    dragonfire: daj znać jak będziesz potrzebował uklady scalone, mam tego jeszcze całkiem niezły zapas (zarówno polskie i zachodnie jak i rosyjskie o zakręconych oznaczeniach). Pamięci RAM też się tam znajdą - były w standardzie TTL takie kostki RAMu, powinienem też mieć jakieś dynamiczne. Bardzo ciekawy temat, zamiast się naśmiewać proponuję autorowi...

    Komputery Hardware   10 Lis 2006 21:48 Odpowiedzi: 36    Wyświetleń: 6161
  • Mały wzmacniacz mocy - projekt

    Witam. Przejżyj to najprościej na TDA:

    Projektowanie Układów   01 Cze 2006 19:51 Odpowiedzi: 4    Wyświetleń: 2225
  • Projekt wzmacniacza do samochodu

    Bardzo prosty wzmacniacz na ukladzie tda 2005. Odpalalem go na 16 V i gra badzo przyzwoicie. Z tego co pamietam zakres napiec tegoz uklady jest od 8 do 18 V pozdrwiam

    CarAudio   26 Lip 2006 18:52 Odpowiedzi: 7    Wyświetleń: 1134
  • Projekty zasilaczy - UEL, nie kumam nic

    Zrozumialem oby dwa uklady:!: :D teraz problem z zasilaczem z wykorzystaniem ukladu Darlingtona. chcialbym, zeby ktos sprawdzil moje wypociny. 1) obliczam Ud diody z oczka: Uwy+Ube1+Ube2-Ud=0 12V+0,7V+0,7V=Ud Ud=13,4V <- nie znalazłem w katalogu diod ani na 13,5V, ani na 14V, więc przyjmuję, że Ud=15V 2) obliczam Uwy z zastosowaniem diody o Ud=15V...

    Początkujący Elektronicy   27 Paź 2006 08:12 Odpowiedzi: 25    Wyświetleń: 7326
  • Projekt miernika poziomu sygnalu i mocy sygnalu do 500MHz

    Dziekuje za podpowiedz. Niestety nie wiem względem czego ma być ten poziom mierzony. Taki dostalem temat i nic wiecej nie wiem. Ewentualnie sprobuje to doprecyzowac. Dodano po 40 Tak jak pisalem wczesniej: Do mierzenia mocy znalazlem uklad wzmacniacza logarytmicznego AD8307 oraz uklad razem z procesorem i wyswietlaczem LCD: Do mierzenia poziomu sygnaly...

    Początkujący Elektronicy   14 Paź 2006 10:28 Odpowiedzi: 5    Wyświetleń: 1712
  • LM3886TF + preamp własnego projektu na TDA7439

    Do autora , lub kto umie wytrawic plytke i programowac uklady Czy mógłby ktoś mi wytrwić płytkę od preampu i kupić i zaprogramować atmega8 ? oczywiście odpłatnie, np na allegro. Mam 13 lat i jeszcze ni eumiem płytek wytrawiać :D A zrobiłem wzmaka na 2XTDA7294 i potrzebuję przedwzmacniacza cyfrowego :D Pozdrawiam

    DIY Konstrukcje   02 Sty 2013 12:45 Odpowiedzi: 430    Wyświetleń: 87556
  • Centralka ogrzewania. Szukam projektu sterowania.

    Tylko mnie nie potrzeba wyswietlacza temperatury. Termistor ma byc tylko zabezpieczeniem aby nie przekroczyc MAX. Przyciskiem wlaczyc i wylaczyc grzalke w zakresie do 70°C Dodano po 3 Znalazlem schemat termostatu na zwyklej diodzie Dodano po 22 Jak przemienic jego dzialanie aby tranzystor sterujacy byl caly czas wlaczony a dopiero wylaczal sie kiedy...

    Projektowanie Układów   22 Lis 2006 22:10 Odpowiedzi: 3    Wyświetleń: 1835
  • Wykonanie spawarki TIG AC/DC (projekt z EP)

    witam! DS a myslales o ulepszeniu o n/w uklady? ma ktos na to pomysl? w nawiazaniu do opracowania EP 12/03 czy mozliwe jest opracowanie a moze ktos wykonal taki uklad do spawarki tig ewentualnie jakies schematy? co o tym myslicie? - ukladu zapewniajacego stopnoiwe narastanie pradu od zera ( od pradu bazowego ? ) do nastawionej wartosci przy rozpoczeciu...

    Elektro Spawarki i Prostowniki   03 Sty 2022 17:15 Odpowiedzi: 531    Wyświetleń: 475669
  • Pomysł na projekt, układ SPLD - szukam informacji

    no tak mialo byc CPLD :) w sumei moglem od tego zaczac by zobaczyc do czego producenci zalecaja te uklady

    Programowalne układy logiczne   11 Sty 2007 19:29 Odpowiedzi: 2    Wyświetleń: 1410
  • Projekt w VHDL

    Witam. Mam taki problem mam zadanie na zaliczenie przedmiotu uklady programowalne potrzebuje jakis maly projekcik na dowolny temat napisany w jezyku VHDL. Njalepiej gdyby to byl jakis uklad sekwencyjno kombinacyjny ktory cos tam robi i w miare prosty zebym go zrozumial. O ile znam troche opis ukladow kombinacyjnych o tyle mam problem z ukladami sekwencyjnymi,...

    Programowalne układy logiczne   14 Sty 2007 14:19 Odpowiedzi: 0    Wyświetleń: 1305
  • Zegarek na mikrokontrolerze pomocy projekt szkolny

    zastanow sie co piszesz na czym ma byc zrobiony ten zegar? moze uklady MC1204 lub MC1206. Poszukaj na forum u gory masz SZUKAJ. Inna droga to zastosowanie malego procesorka i napisanie programu do niego wsady tez znajdziesz na forum wiec.... do drugiego sposobu nie ma sensu rysowac schematu tylko by wypadalo plytke zaprojektowac a program dostosowac...

    Projektowanie Układów   19 Lut 2007 21:28 Odpowiedzi: 2    Wyświetleń: 1631
  • Radio Cyfrowe z komputera : projekt SDR1000 i Flex Radio

    to co napisze to nie zlosliwosc a dazenie do konsekwencjii, kolega napisal: "Bo DDS to niby prosta sprawa ale nie tak do końca - pracochłonna w porównaniu do tego odbiornika - Wpisz w Google SP3SWJ - tam znajdziesz wszystko o DDS co potrzebne." wspomniany DDS jak rowniez nieco inny ktory spokojnie rozwiaze problem freqx4 ( chodzi poprawnie do 160MHz)...

    Radiotechnika Serwis   14 Gru 2012 23:41 Odpowiedzi: 189    Wyświetleń: 74747
  • Sterownik na głos. Na czym to zbudować? Gotowy projekt?

    Witam, Widzialem takie rozwiazania i w zaleznosci od zlozonosci / selektywnosci rozpoznawania dzwiekow mozna rozwazyc 3 konstrukcje: 1) najprostrza, reaguje nie na cale slowa ale na poszczegolne akcenty w slowach, wybierasz kilka slow o dosc roznej budowie fonetycznej i budujesz filtry pasmowoprzepustowe na te dzwieki, np. swiatlo reagujesz na "s"....

    Projektowanie Układów   04 Cze 2007 08:16 Odpowiedzi: 5    Wyświetleń: 1272
  • Projekt Przetwornicy od podstaw 12V-> +-70VDC 1000W

    Dwie pary mosfetow to bede pewnie chodzily z drivera jaki jest oryginalnie bez zadnych dodatkowych tranzystorow. Nie moge jednak tego potwierdzic bo takich prob nie wykonywalem ale wiem ze firmowe wzmaki nie maja dodatkowych driverow a wysterowuja po dwie a nawet wiecej par mosfetow. Najwazniejsze w tym wszystkim jest trafo i mozaika sciezek na plytce...

    Układy Zasilające   22 Lut 2011 00:12 Odpowiedzi: 1268    Wyświetleń: 317337
  • Antena mikropaskowa do konstrukcji radaru. (Projekt PW)

    Skrypty Twojej uczelni: Poza tym: ? ? a tu sporo o technice mikropaskowej: ?

    Radiotechnika Serwis   23 Gru 2007 00:36 Odpowiedzi: 11    Wyświetleń: 3270
  • projekt na atmega32

    Witam, Jakis czas temu postanowilem zbudowac wykrywacz klamstw, zainspirowal mnie news na elce : (zaawansowany poligraf). Nie jestem doswiadczonym elektronikiem, ba, nie jestem wcale elektronikiem. Umiem tylko czytac niezbyt skomplikowane schematy. Mam pytanie podstawowe, moze mi ktos przedstawic ew. narysowac schemat na ktorym jest uC atmega32 z ADC...

    Mikrokontrolery   30 Lis 2007 14:39 Odpowiedzi: 0    Wyświetleń: 1161
  • Wzmacniacz w ukl. OE - moj projekt

    Stanislaw Kuta, Elementy i Uklady Elektroniczne cz.I Przejdź się proszę do biblioteki i poszukaj tej książki, jest tam wszystko opisane od A do Z i obliczenia też. A jak Ci sią nie chce to np. to:

    Projektowanie Układów   08 Sty 2008 22:15 Odpowiedzi: 1    Wyświetleń: 998
  • Wzmacniacz 2 x 20W - Pierwszy projekt.

    AD1 Powinienes moc kupic te uklady, kosztuja okolo 5zl AD2 Ja to robie tak: Metoda papieru kredowego i zelazka a) Drukuje wzor sciezek na papierze kredowym b) szlifuje lekko plytke drobnym papierem sciernym o gradacji z 500 c) przykladam papier do plytki strona drukowana(to chyba wiadomo :D ) d) prasuje zelazkiem przez kilka min e) czekam nastepne kilka...

    Projektowanie Układów   19 Kwi 2008 17:32 Odpowiedzi: 17    Wyświetleń: 3322
  • Nowy JTAG do ARMa na USB - wstęp do projektu

    Na wstępie może podam 2 linki: ponieważ osób chętnych jest już dosyć sporo, to zdecydowałem się rozpocząć projekt NOWEJ i LEPSZEJ wersji JTAGa do ARMa <: odpowiednio dziwaczna nazwa jeszcze nie została wymyślona O CO CHODZI Przedmiotem projektu jest JTAG do ARMa podłączany do komputera przez port USB. JTAG taki współpracować będzie z KAŻDYM rdzeniem...

    Mikrokontrolery ARM   17 Gru 2013 23:37 Odpowiedzi: 353    Wyświetleń: 93333
  • Poprawnosc prowadzenia sciezek oraz projektu PCB

    Zrobilem w sumie 3 polygony, dla masy analogowo-cyfrowej, kompletnie ich nmei moglem oddzielic bo dopinac urzadzenia ktore maja wspolna mase a sa to uklady analogowo cyforwe. Potem masa czysto ukladow cyfrowych i ostatni maly polygonik pod kwarcem dla RTX (wg zalecen z datasheeta).

    Projektowanie PCB   13 Cze 2009 22:04 Odpowiedzi: 11    Wyświetleń: 3061
  • Projekt falownika 1-fazowego - potrzebny zamiennik dławika

    Sporo zależy od przewidywanego obciążenia, ale dławik z zasilacza ATX powinien być dobry. LNK304 jest dostępny w [url=]TME. Poza tym, jeśli dobrze pamiętam, można bez żadnych zmian zastosować układy większej mocy: LNK305, 306.

    Początkujący Naprawy   24 Paź 2012 10:58 Odpowiedzi: 4    Wyświetleń: 1896
  • [ActiveHDL] - Projekt realizujący sterowanie sygnalizacją świetlną

    ...bo jesli chodzi o preskaler chyba juz wyzsza pólka prescaler to poprostu licznik, uklady fpga pracuja z sygnalem zegarowym, czy taktujacym od kilkunastu do 200+ MHZ, a ty chcesz zrobic uklad, dla ktorego podstawowa jednostka czasu jest sekunda; jesli czestotliwoscia taktowania w twoim przypadku jest np. 10MHz, to musisz zrobic licznk modulo 10,000,000,...

    Programowalne układy logiczne   28 Lut 2013 22:02 Odpowiedzi: 8    Wyświetleń: 4326
  • Projekt przenośnego wzmacniacz z głośnikiem, wejściem audio oraz mikrofonem.

    2х24V po prostowniku da 2х33V stałego symetrycznego napięcia czy 33V nie symetrycznego napięcia, lecz pozwoli przy czym zwiększyć prąd obciążenia do 2 razy.Przy użyciu takiego nie symetrycznego napięcia dla wzmacniacza można wykorzystać uklady TDA2040,TDA2050.Do końca nie mogę zrozumieć, jakiż wzmacniacz ci jest potrzebny.Zawiadom również...

    Początkujący Elektronicy   18 Lip 2014 18:46 Odpowiedzi: 31    Wyświetleń: 7200
  • Diora WS 4421 - Wskaźnik wysterowania - projekt oryginalnego wskaźnika

    W pierwszym zdaniu napisalem ze nie mam :) Ogolnie uklady oba fajne ale oba nie pasuja do koncepcji diory. Diora ma po 5 diod na kanal we wskazniku wysterowania wiec pierwszy odpada gdyz sie nie pomiesci calosc a drugi to mocno wiec nie bedzie pasowalo do opisow z obudowy. Najbardziej marzy mi sie oryginal. Ten ktory podalem kit z jabela to wskaznik...

    Projektowanie Układów   04 Sie 2014 19:01 Odpowiedzi: 6    Wyświetleń: 6285
  • zasilacz cyfrowy działanie, projekt

    Kurde...Zacznij czytac cos na temat zasilaczy i obejrzyj strone autora. Nie trzeba stosowac trzech rezystorow R4/14/15, wystarczy jeden odpowiednio dobrany pod wzgledem wartoci rezystancji i mocy. Zreszta wlasnie ze wzgledu na moc czasem latwiej zastosowac kilka malych niz jeden duzy rezystor. Tu dochodzimy do nastepnej kwestii: rezystory te nie sa...

    Początkujący Elektronicy   26 Paź 2014 17:44 Odpowiedzi: 18    Wyświetleń: 1557
  • Ocena projektu instalacji C.O. z wymiennikiem plytowym

    Producent mi cos zwraca uwage, ze gdy sa dwa uklady jak na schemacie to ich sterownik zawor mieszajacy traktuje jako czesc ukladu CO1. Z CO2 pompe wylacza dopiero regulator pokojowy. Jezeli dobrze rozumiem to steronik wylacza wlasnie tylko wtedy gdy temp powrotu jest za niska. Nie mam pojecia czy w tej odpowiedzi producent cos mi zarzuca czy mam cos...

    Systemy Grzewcze Serwis   16 Paź 2015 15:11 Odpowiedzi: 11    Wyświetleń: 2967
  • [Zlecę] Projekt + prototyp urzadzenia z 10 kamerami

    Co do kosztow ktore wyszczegolniles - dzieki serdeczne jest tu wiele nowych dla mnie pojec - musze poswiecic troche wiecej czasu na research. Moge jeszcze dopytac - jak biorac pod uwage takie koszta funkcjonuja producenci tanich action camer 4k ? Czesto te kamery mozna dostac za $ 20-30 za sztuke - rozumiem ze w ich przypadku - koszt developmentu zwraca...

    Projektowanie Bazar   04 Wrz 2018 22:35 Odpowiedzi: 16    Wyświetleń: 1152
  • F Meter wg projektu IK3OIL

    To chyba nie jest kłopot z dorobieniem takiego układu wejściowego? Właśnie jest. Wypróbowałem juz kilka konstrukcji nawet z gotowych PCB z kitów i nigdy deklarowanych np 80MHz 100mV nie udało się uzyskać tylko np 50MHz 1V. Dlatego przeglądam rózne rozwiązania mierników częstotliwości ale w 99% obwody wejściowe sa pomijane. Wyniki masz jakie masz, bo...

    DIY Konstrukcje   21 Kwi 2019 13:56 Odpowiedzi: 20    Wyświetleń: 8361
  • Wzmacniacz impulsów wysokiego napięcia projekt (impulsy zarówno + jak i -)

    Chcę współpracować. Wiem że układy o podobnej zasadzie działania stosuje się w nadajnikach /odbiornikach radiowych w celu sterowania anteną na generowanie sygnału w przestrzeń, w taki sposób że układ impulsem wyłącza obwód odbiornika i przełącza na nadawanie, bądź na odbiór. Co więcej takie układy sterują powtarzaniem nadawanego sygnału oraz jego czasem...

    Projektowanie Układów   06 Gru 2022 08:39 Odpowiedzi: 29    Wyświetleń: 555
  • Częstotliwość działania układu scalonego L6562 w projekcie APFC - niejasności parametrów TME

    Witam. W wolnych chwilach projektuje układ APFC chciałem wykorzystać scalak L6562. Jednak nigdzie nie mogę znaleźć z jaką częstotliwością działa. Na TME znalazłem jedynie, że jest to 1MHz, lecz nie do końca ufam podanym tam parametrom. Częstotliwość potrzebuję do wyznaczenia uzwojeń transformatora w APFC. Poniżej nota katalogowa.

    Początkujący Elektronicy   24 Sty 2022 17:07 Odpowiedzi: 12    Wyświetleń: 306
  • [Oferta] Uklady elektroniczne AVR i ARM wykonanie,projekt

    Witam serdecznie :) Przyjme zlecenie na wykonanie ukladow elektronicznych (AVR i ARM) badz calego projektu. Kontakt przez priv badz emaila :)

    Ogłoszenia Elektronika   26 Lis 2009 13:14 Odpowiedzi: 2    Wyświetleń: 1474
  • Interkom

    Początkujący Elektronicy   19 Sty 2007 14:24 Odpowiedzi: 9    Wyświetleń: 1926
  • nVisage dxp

    Mam pytanie czy ten program to to samo co Protel DXP czy nie. Nie. nVisage to programowanie opisowe calego projektu. Tak, jak uklady PLD programuje sie, a raczej opisuje sekwenyjne bloki funkcjonalne i powiazania miedzy nimi w jezyku do tego celu przeznaczonym (VHDL), tak w nVisage opisuje sie caly projekt, a wiec w szerszym pojeciu niz uklady programowalne....

    Projektowanie PCB   08 Cze 2003 19:16 Odpowiedzi: 1    Wyświetleń: 1824
  • Polubić wysokonapięciowe wzmacniacze operacyjne

    Witam, tak stosowalem uklady wysokonapieciowe glownie dostepne komercyjnie. Obecnie jestem na etapie nowego projektu wykozystujacego takie uklady: Dobrze ze ktos zebral te materialy, wszytkie sa mi znane ale dobrze ze sa w jednym miejscu. Pozdrawiam

    Artykuły   14 Sty 2021 18:35 Odpowiedzi: 5    Wyświetleń: 3111
  • Układ wzmacniający z 4 tranzystorami - jak liczyc?

    Witam, mam problem z rozwiazaniem zadania ( jest to czesc projektu) na przedmiot Uklady Elektroniczne. Zadanie jest w załączniku i tam też rysunek układu i dane. Strasznie on dziwny i dlatego nie za bardzo wiem co robic :( Jest tam lustro i jeszcze 2 tranzystory. Bede wdzieczny za wszelka pomoc, a najbardziej za jakis algorytm co mam robić, i jak. Generalnie...

    Początkujący Elektronicy   05 Gru 2004 13:10 Odpowiedzi: 7    Wyświetleń: 2229
  • Pierwsze starcie z mikrokontrolerami (dozwolone linki Allegro, eBay, itp)

    OK, więc zamawiam SKT200 - czekam na plytke i programator kilka wizyt w sklepach i zaczynam dzialac :) Z programowaniem nie piwinienem miec problemow w koncu tym sie zajmuje zawodowo (C++). Assembler bardzo lubilem za mlodu wiec cos mi zostalo. VMLAB to z tego co widze srodowisko symulacyjne pozwalajace stworzyc projekt zawierajacy kontroler, uklady...

    Mikrokontrolery Początkujący   09 Sty 2018 16:32 Odpowiedzi: 2015    Wyświetleń: 543561
  • Najbardziej awaryjni/niesolidni producenci sprzętu AGD/RTV

    Witam, ja dorzuce zla opinie o PHILIPSie, ogolnie ich nowy sprzet jest jednorazowy i to jest juz od paru lat, naprawialem juz troche philipsow i jest zle, kiepska jakosc i kiepski projekt, sa to uklady zle zaprojektowane, odprowadzanie ciepla, brak kontaktow, zimen luty itp. Opieram sie na odtwarzaczach DVD/kino domowe, TV28" CRT, wieze i mini wieze...

    AGD Użytkowy   04 Lis 2007 16:05 Odpowiedzi: 195    Wyświetleń: 126773
  • Co to jest ASIC i pytanie o Microblaze.

    jak święta, to święta ... :) musisz zapewnic komunikacje miedzy modulami powrzucanymi do roznych kosci, do tego musisz zapewnic mechanizm cos jak delty symulacyjne tego nie rozumiem, jakie delty symulacyjne ? Z tego co zrozumialem griva miał na myśli przesyłanie informacji o stanie sygnałów asynchronicznych w przyapdku nieoptymalnego podziału projektu...

    Programowalne układy logiczne   18 Gru 2007 19:28 Odpowiedzi: 24    Wyświetleń: 4624
  • Elektronika naprawdę dla każdego - nauka elektroniki od podstaw (pomysły i ścieżki rozwoju)Sponsorowany

    Jak łatwo, tanio i przyjemnie zacząć przygodę z elektroniką? W ucząc dzieci elektroniki . Pomysły i inspiracje na początek nauki elekroniki Największą (za to możliwe, że jedyną) przeszkodą w rozpoczęciu edukacji elektronicznej jest zebranie kilku elementów wyposażenia. Jak już zostało tu wspomniane w poprzednich częściach, będzie ono w dużej mierze...

    Początkujący Elektronicy   27 Lis 2023 15:33 Odpowiedzi: 250    Wyświetleń: 10467
  • Konkurs - do czego wykorzystasz FPGA? WYNIKISponsorowany

    Zapraszamy do udziału w konkursie, w którym możesz nauczyć się coś nowego o układach FPGA. Programowalne układy FPGA można skonfigurować tak, aby realizowały proste lub bardziej złożone układy kombinacyjne i sekwencyjne, wewnątrz FPGA można także zaimplementować gotowe lub własne projekty mikrokontrolerów (tzw. soft-procesor). Nie jesteśmy ograniczeni...

    Artykuły   16 Gru 2019 18:57 Odpowiedzi: 87    Wyświetleń: 9921
  • Komunikacja bezprzewodowa w systemach IoT z wykorzystaniem modułów Arduino MKRSponsorowany

    Komunikacja bezprzewodowa w systemach IoT z wykorzystaniem modułów Arduino MKR. Standardy komunikacji modułów Arduino dla IoT. Jednym z największych problemów, z którymi zmaga się aktualnie rynek urządzeń Komunikacja WiFi/Bluetooth z Arduino MKR 1000/1010 Komunikacja w paśmie częstotliwości ISM 2,4 GHz, z wykorzystaniem standardów WiFi oraz Bluetooth...

    Artykuły   02 Sie 2021 05:24 Odpowiedzi: 0    Wyświetleń: 2514
  • Mikrokomputer COBRA 1

    R-MIK, Mam wrazenie, ze troche jakby mijasz sie z celem. Tak sobie czytam i zassalem tego zipa co wrzuciles wczesniej, i patrzac an to wszystko zauwazylem, ze strasznie probujesz wrzucic w to tyle roznych skomplikowanych technologii, ze nie jeden elektronik musialby posiedziec nad tym wszystkim i troche to postudiowac, zeby ogarnac o czym Ty w ogole...

    DIY Konstrukcje   13 Mar 2024 22:28 Odpowiedzi: 1641    Wyświetleń: 242718
  • Adapter USB-1wire [KLON DS9490]

    Projekt to klon adaptera DS9490 firmy maxim, nie jest tak malutki ale ma za to plusy: LED ;-), 2 zlacza RJ12, nie jest tak filigranowy (oryginal mysle ze przy ostrej ekspolatacji moze sie zlamac albo moja pojawic sie 'zimne luty'). Na elektrodzie jest juz podobny projekt ale - duza plytka, nie jest latwo dobrac obudowe, brak zgodnosci ze zlaczem RJ12...

    Artykuły   01 Lip 2011 00:56 Odpowiedzi: 21    Wyświetleń: 19277
  • Wyprawa w gory - Podlaczenie laptopa do panela slonecznego

    Wspomniana przetwornica jest tutaj idealnym rozwiazaniem. Sa to uklady o duzej sprawnosci i szerokim zakresie napiec wejsciowych. Urzadzonko takie potrafi zarowno podnosic jak i obnizac napiecie wejsciowe utrzymujac napiecie wyjsciowe na zadanym poziomie. Jedynym problemem dla amatora moze byc zaprojektowanie takiej przetwornicy bo nie sa to najlatwiejsze...

    Projektowanie Układów   05 Cze 2006 15:50 Odpowiedzi: 8    Wyświetleń: 2888
  • Wzmacniacz Janka ... - Problemy i pytania

    Jestem na elektrodzie juz ladne pare lat i jak na razie tylko odpowiadam na pytania a nie je zadaje. Zajmuje sie zawodowo tematem elektroniki audio a forum jest zasadniczo amatorskie zeby mozna bylo pogadac o fachowych sprawach. Dlatego tez nie ma sensu zamieszczac skomplikowanych schematow bez jakichkolwiek ich opisow. Co do moich ukladow to rozdaje...

    Projektowanie Układów   29 Wrz 2009 10:39 Odpowiedzi: 153    Wyświetleń: 33315
  • Wyniki konkursu FPGA - zwycięzcy i wyróżnieni

    Znamy wyniki konkursu FPGA, zadaniem uczestników było zaproponowanie sposobu wykorzystania w praktyce zestawu maXimator. Wpłynęło 19 zgłoszeń konkursowych. Zostali wyłonieni zwycięzcy: 1. miejsce p.kaczmarek2 - AoT_Hunter_PL - Mantrid - Kamami : Autor książki dostępny na elektroda.pl jako piotrva zdecydował się przyznać dodatkowe wyróżnienia, wyróżnione...

    Newsy   14 Gru 2019 11:38 Odpowiedzi: 0    Wyświetleń: 1011
  • Budowa małego procesora z tranzystorów do kalkulatora - czy to możliwe?

    No właśnie chcę zrobić sumator za pomocą podstawowy bramek No własnie w tej prezentacji jest pokazany półsumator i sumator złożony z bramek. Które bramki są podstawowe? Czy EX-OR jest podstawową bramką? Jak nie to każdy wykład o układach logicznych pokazuje jak zbudować EX-OR z bramek NOT, AND, OR - troche własnej inicjatywy w poszukiwaniu. Dodam jeszcze,...

    Początkujący Elektronicy   27 Paź 2021 17:31 Odpowiedzi: 8    Wyświetleń: 429
  • Manchester 16bitów potrzebne informacje.

    Witam dziekuje za odpowiedz jednak nie wiele z tego zrozumialem :( ale trudno Ktos projektuje dlamnie uklad nadajnik - odbiornik i do przeslania sygnalu lub zakodowania tego sygnalu chce urzyc kodowania Manchester 16bitów (oczywiscie moge cos krecic) caly uklad jest w fazie projektu. A mi chodzi o jaknajnizsze koszty wyprodukowania tego uklady. no i...

    Początkujący Elektronicy   12 Lis 2003 14:59 Odpowiedzi: 3    Wyświetleń: 1359
  • programator 89c52

    Przewertowalem elektrode i nie widac gotowego projektu programatora Atmela 89C52 (DIL 40). Mam w sumie do zaprogramowania tylko jeden taki uklad wiec nie wchodza w gre jakies skomplikowane uklady. Mnostwo wszedzie tylko do AT89Cx051. Prosze o jakis schemat, wraz ze wskazowka na pasujacy software. Dzieki

    Monitory Serwis   29 Kwi 2004 22:14 Odpowiedzi: 1    Wyświetleń: 2038
  • programator 89c52

    Przewertowalem elektrode i nie widac gotowego projektu programatora Atmela 89C52 (DIL 40). Mam w sumie do zaprogramowania tylko jeden taki uklad wiec nie wchodza w gre jakies skomplikowane uklady. Mnostwo wszedzie tylko do AT89Cx051. Prosze o jakis schemat, wraz ze wskazowka na pasujacy software. Dzieki

    Mikrokontrolery   30 Kwi 2004 01:09 Odpowiedzi: 1    Wyświetleń: 1091
  • Wzmacniacz na tranzystorach Hexfet 400W/4 Ohm - przeróbka

    widze zibisound ze moje techniczne pytania zostaly bez odpowiedzi. Bo twojego teoretycznego doswiadczenia cos nie potrafisz potwierdzic praktycznie. Ksiazki A.Witorda to ja czytalem jak bylem maly teraz takie sam moge pisac. W tamtych czasech szczytem techniki byly muzealne 2N3055 i wspaniala diora z moca 40W z dwoch BDP395/6. Teraz na dwoch tranzystorach...

    Audio Serwis   01 Sie 2005 12:39 Odpowiedzi: 39    Wyświetleń: 5860
  • detektor wartosci szczytowej

    Witam :) Sluchajcie mamy projekt do zrobienia, temat: detektor wartości szczytowej (amplitudy, amplitudowy, szczytowy - takie nazwy tez sie pojawiaja), pasmo 1-32 MHz i blad detekcji < 0,1 %. Musimy porownac detektory oparte na: diodzie, wzmacniaczu operacyjnym i tranzystorze. Maja to byc proste uklady. Tylko ze tu pojawia sie problem. Jakie cechy...

    Początkujący Elektronicy   22 Lis 2005 00:57 Odpowiedzi: 1    Wyświetleń: 1360
  • Zegar Binarny v2 by HubertFab

    Co do projektu to nie wiem czemu nie zrobiles jednego z mozliwoscia zmiany trybow BCD i binarny. Oba te formaty mozna zrealizowac na tych samych diodach. Nie potrzebnie machales dwa uklady. A co do przydatnosci ja bym sobie go robil wylacznie po to aby zaimponowac innym.. -przychodzi kumpel i "WTF?" a ja wtedy "moj zegarek" -"??" ja "22:58 jest" -on...

    DIY Konstrukcje   07 Gru 2012 22:14 Odpowiedzi: 37    Wyświetleń: 18161
  • Szybki procek - sterowanie monitorem

    Przy takich czestotliwosciach jakie podales masz 52 nanosekundy na wyswietlenie jednego piksela. Sa procki, ktore sobie radza z takimi predkosciami, ale AVRki nawet nie moga o tym marzyc bez dodatkowego sprzetowego wspomagania. Zastanow sie choc troszke czy przy czestotliwosci procka 12MHz jestes w stanie otrzymac czas porownywalny z 52 nanosekundami....

    Mikrokontrolery   17 Lip 2010 19:52 Odpowiedzi: 19    Wyświetleń: 3914
  • Kompedium wiedzy na temat CPLD/FPGA

    Witam!!! Na wstępie proszę abyście nic nie dopisywali żadnych odpowiedzi do tego tematu. Jak chcesz coś dodać od siebie to proszę o informacje na priva. Dokleję i poinformuje co kto dodał o ile ta informacja będzie coś wnosiła do tego kompendium. Chce wprowadzić taki zabieg aby czytelnicy nie wertowali tego posta co kto dodał. To ma ktoś otworzyć i...

    Programowalne układy logiczne   01 Lut 2016 15:02 Odpowiedzi: 2    Wyświetleń: 35242
  • Potrzebna pomoc: Prosty kalkulator w VHDL

    Prosty kalkulator bardzo prosta i skuteczna pomoc z wyjaśnieniami znajduje się w poniższych plikach: W zipach masz gotowe projekty z kodami źródłowymi, które bez problemu zastosujesz w swoim projekcie bez dużej umiejętności VHDL-a. Myślę, że to rozwiązuje twój problem w 100% Mam nadzieje że pomogłem Pozdrawiam

    Programowalne układy logiczne   25 Maj 2010 16:03 Odpowiedzi: 7    Wyświetleń: 3376
  • nadajnik i odbiornik na 125KHz-problem

    no tak aczestotliwosc wykorzytuja systemy oparte na transponderach.. jako ze moj projekt ma byc takim systemem to musze wykorzystywac tak niska czestotliwosc... ogolnie ma to dzialac tak ze stacja bazowa wysyla te 125 kHz w przestrzen... miniodbiornik z odleglosci powiedzmy 2 metrow wykrywa to pole i odpowiada juz na czestotliwosci 433 MHz... z ta druga...

    Projektowanie Układów   09 Kwi 2006 20:48 Odpowiedzi: 3    Wyświetleń: 1975
  • Jaka kostka będzie dobra na przedwzmacniacz mikrofonowy

    Jeden z wart polecenia (chodzi o jakość odsłuchu): Projekt tej samej osoby (nie testowałem): Ot, taka ciekawostka:

    Początkujący Naprawy   24 Gru 2011 17:29 Odpowiedzi: 2    Wyświetleń: 1269
  • Atmel Studio 6 IDE - alternatywa czy konkurencja

    (at)tymon_x Wiesz tolchain to naprawde nie wiele, mam ich obecnie kilak i jakos nie mam problemu. Kod obecnie najczesciej pisze w C i jest najczesciej w duzym stopniu przenoscy. Nie pisze tutaj o kodzie do obslugi SPI, I2C itd. bo ten za zwyczaj pisze pod katem tego co mam na tej magistrali (jakie uklady) i zajmuje to moment... Ja nie generalizuje tylko...

    Mikrokontrolery   23 Mar 2013 12:40 Odpowiedzi: 64    Wyświetleń: 11072
  • Protector v1.5 - Poszukiwania działającego schematu

    Witam, tu masz 2 wersje bardzo dobrych i wszechstronnych układów zabezpieczeń: Pozdrawiam, Jacek Zieliński A tu: ciekawy projekt z zasilaczem tyle, że raczej nie do wzmacniacza 200W.

    Audio Szukam   05 Kwi 2014 13:25 Odpowiedzi: 5    Wyświetleń: 1053
  • Wtórnik emiterowy- obliczenia

    Hej, gratuluję konsekwencji i posuwania się do przodu. Ad 1. Jeżeli symulacja w dziedzinie czasu daje stabilną odpowiedź na pobudzenie, to układ ma szansę działać. Ale musisz jeszcze wziąć pod uwagę kolejne kryteria wcześniej nieobecne w rozważaniach i w modelu: nieidealność elementów (stosujesz w symulacji modele idealne elementów pasywnych, zakładasz...

    Początkujący Elektronicy   10 Kwi 2016 15:02 Odpowiedzi: 17    Wyświetleń: 4770
  • klon ICD2 - programatora / debuggera procesorów PIC, dsPIC

    na zdjeciach widac pewne poprawki (rezystor od strony miedzi) - jeden z nich sluzy do uzyskania wartosci 0.5oma (dwa rownolegle rezystory 1om), drugi natomiast jest poprawa buga polegajacego na tym, ze programator nie zawsze odlaczal zasilanie ukladu po stosownej komendzie z aplikacji. Blad ten poprawiony jest w najnowszej wersji, my jednak wykonalismy...

    DIY Konstrukcje   18 Mar 2012 21:39 Odpowiedzi: 156    Wyświetleń: 47067