REKLAMA

vhdl sterowanie

Znaleziono około 184 wyników dla: vhdl sterowanie
  • Vhdl-sterowanie szerością impulsu

    Mam za zadanie napisania programu który będzie sterował serwomechanizmem metodą PWM. Odpowiedniemu położeniu serwomechanizmu odpowiada sygnał o konkretnym wypełnieniu. Oto mój pierwszy program "testowy" za pomocą którego chcę po prostu ruszyć tym silniczkiem. library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL;...

    Programowalne układy logiczne   04 Sty 2012 18:16 Odpowiedzi: 7    Wyświetleń: 2820
  • REKLAMA
  • XC9500XL - VHDL-sterowanie si -

    Witam Jestem w trakcie wykonywania projektu układu sterującego pracą bipolarnego silnika krokowego w VHDL na XC9500XL. W trakcie pisania programu natknąłem się na błąd, z którym nie mogę sobie poradzić. WebPack wywala mi błąd: "Sequential logic for node <WY> appears to be controlled by multiple clocks." Czy ktoś mógłby mi pomóc w rozwiązaniu tego...

    Programowalne układy logiczne   16 Cze 2014 18:20 Odpowiedzi: 23    Wyświetleń: 2670
  • VHDL sterowanie LCD. Płytka edukacyjna DIO2.

    Witam! No więc stało się i muszę zabrać się za pierwsze zadanie projektowe. Polegać ma właśnie na napisaniu w kodzie VHDL bloku sterującego wyświetlaniem informacji na wyświetlaczu LCD płytki edukacyjnej DIO2. Póki co nie wiem nawet od czego zacząć. Prosiłbym więc o wszelkiego rodzaju wskazówki i rady, które pozwolą mi przez to jakoś przebrnąć i nauczyć...

    Programowalne układy logiczne   29 Sty 2005 02:50 Odpowiedzi: 8    Wyświetleń: 2641
  • REKLAMA
  • [VHDL] Sterowanie przetwornikiem A/C AD7864 poprzez układ FPGA firmy Altera.

    Witam, Mam problem ze skonfigurowaniem sterowania przetwornika A/C AD 7864. Bardzo bym prosił o pomoc i wskazanie błędów. Będę bardzo wdzięczny. Poniżej załączam kod programu. [syntax=vhdl]-- WARNING: Do NOT edit the input and output ports in this file in a text -- editor if you plan to continue editing the block that represents it in -- the Block Editor!...

    Programowalne układy logiczne   15 Cze 2011 10:23 Odpowiedzi: 5    Wyświetleń: 2106
  • vhdl-ROM- organizacja o odczyt pamięci.

    Witam Polecam skorzystać z opcji MegaWizard. Pozwoli Ci ona skonfigurować komórki układu jako pamięć ROM. Niemniej korzystając z tej opcji w pierwszej kolejniści musisz stworzyć plik mif . Następnie w MegaWizard konfigurujesz odpowiednio sygnały sterujące pracą pamięci. Tutaj znajdziesz więcej szczegółów. Po zakończeniu zostanie stworzony plik w VHDL-u...

    Programowalne układy logiczne   25 Sie 2009 08:24 Odpowiedzi: 1    Wyświetleń: 1685
  • REKLAMA
  • Implementacja sterowania wyświetlaczem LCD w układzie CPLD

    Autor przygotował już kilka artykułów dotyczących sposobów sterowania wyświetlaczem LCD 16x2 ze sterownikiem HD44780, jednakże do tej pory nie miał okazji zająć się sposobem łączenia z programowalnymi układami logicznymi (CPLD czy FPGA) i implementowania obsługi wyświetlacza w języku Verilog czy VHDL. W niniejszym artykule zostanie więc pokazane, jak...

    Artykuły   06 Mar 2013 22:29 Odpowiedzi: 0    Wyświetleń: 13341
  • Automat sygnalizujący w VHDL-u

    Witam Mam problem z projektem ze Sterowania Komputerowego. Temat projektu brzmi następująco: Na wejściu A pojawiają się impulsy o różnym czasie trwania. Zaprojektować automat sygnalizujący: - na wyjściu X impuls o czasie 3ms, jeśli 3 kolejne impulsy były coraz krótsze, - na wyjściu Y impuls o czasie 2ms, jeśli przerwa pomiędzy kolejnymi impulsami była...

    Początkujący Elektronicy   23 Wrz 2006 21:27 Odpowiedzi: 2    Wyświetleń: 726
  • Program w VHDL-u, generator PWM diody LED.

    Witam. Potrzebuje waszej pomocy. Za kilka dni mam do oddania projekt, w którym muszę zrobić generator PWM diody LED. W zasadzie od strony teoretycznej wszystko mam już zrobione ale brakuje najważniejszego czyli programu. Powinien on ustawiać jasność głównej diody w 16 stanach, sterowane to powinno być dwoma przyciskami (up, down), a aktualnie ustawiony...

    Programowalne układy logiczne   24 Cze 2013 20:32 Odpowiedzi: 5    Wyświetleń: 4160
  • Projekt stopera w VHDL. Wyświetlacze siedmiosegmentowe.

    library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity stoper is Port ( anody : out std_logic_vector(3 downto 0); ledy : out std_logic_vector(6 downto 0); stop : in std_logic; czas : in std_logic; -- zliczanie minut/sekund zegar : in std_logic); -- zegar systemowy end stoper; architecture Behavioral...

    Mikrokontrolery   26 Kwi 2005 12:14 Odpowiedzi: 4    Wyświetleń: 7472
  • VHDL + inżynieria dźwięku i obrazu

    Nie ma to jak dobra połączenie. Są ludzie, którzy uważają, że każdy dźwiękowiec powinien być programistą VHDL'a. Ja tam stażuję sobie w radiu ale studiów dźwiękowych nie ukończę bez VHDL. Nie ukrywam, że chodzi mi o gotowe kody ale nie zamierzam też nigdy pracować w tej dziedzinie. Problem jest następujący: Należy zaprojektować moduł odbiornika danych...

    Początkujący Naprawy   08 Paź 2012 21:17 Odpowiedzi: 0    Wyświetleń: 756
  • Narzędzia do upraszczania funkcji logicznych - alternatywy dla metody Karnaugh, optymalizacja, VHDL

    Cześć. 1 pytanie. Macie jakieś narzędzia do upraszczania funkcji logicznych ? bo karnaugh nie chce mi się liczyć a ich optymalizacja też nie jest najlepsza. Bo można w wielu wymiarach tworzyć tablice. Nie musi być to w 2D może być w 1 D i 3D nawet 4D. A im więcej tych wymiarów tym bardziej to można zoptymalizować stosując zasadę żeby grupować jedynki...

    Programowalne układy logiczne   03 Mar 2022 19:07 Odpowiedzi: 9    Wyświetleń: 1020
  • miernik częstotliwości w vhdl

    mam do zrealizowania miernik częstotliwośći w VHDL. Najwięcej problemu sprawia mi zaimplementowanie układu sterującego czasami otwarcia bramki, którre to są dzelone przez miernik częstotliwości z generatora wzorcowego. Liczniki zliczające impulsi i wyświetlacze 7 seg mam już. Jeśli ktoś wie jak zrealizować brakujące mi elementy(najlepiej kod) bardzo...

    Programowalne układy logiczne   14 Lip 2004 11:23 Odpowiedzi: 1    Wyświetleń: 1976
  • PLC, PLD, FPGA i VHDL - co z czym i jak ?

    plc - programmable logic controller pld - programmable logic device fpga - field programmable gate array vhdl - eh, tu już nie pamiętam rozwinięcia związek pomiędzy nimi ująłbym tak: plc to urządzenia sterujące dla automatyków (i nie tylko) w których siedzą sobie układy pld i fpga, których funkcje logiczne można sobie programować w vhdl.

    Automatyka Przemysłowa   04 Gru 2012 15:04 Odpowiedzi: 5    Wyświetleń: 3298
  • REKLAMA
  • [VHDL] Algorytm PWM, jak to ugryzc ?

    Mam taki oto kod w VHDL. Jest to kod do sterowania jasnością świecenie diody. Niestety średnio go rozumiem i nie mogę wstawić więcej komentarzy a muszę z tego zrobić algorytm działania tegoż programu. Ma ktoś pojecie od czego zacząć ? library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity divider...

    Programowalne układy logiczne   12 Wrz 2010 16:32 Odpowiedzi: 4    Wyświetleń: 4061
  • VHDL-tworzenie napisu na wyswietlaczu LED

    robi się to tak jak w zwykłym sterowaniu wyświtlaczami led, musisz zaprojektowac procedurkę najlepiej która zamienie podaną literke na odpowienie stany diodek led w wyświetlaczu, następnie napiszesz sobie model układu który w odpowiedni sposób wyślwietli np. tablice zanków w odpowiedniej sekwencji z opowienim opóźnieniem.. jeśli jesteś zainteresowany...

    Mikrokontrolery   10 Lis 2003 08:46 Odpowiedzi: 3    Wyświetleń: 1894
  • Zegar szachowy na spartana 1/2 w VHDL

    Nie bede sciemnial, potrzebuje programu na spartana 1/2 napisanego w VHDLu, ktory bedzie realizowal funkcje zegara szachowego. Zegar sterowany 3 przyciskami (2 sterujace zegarami ,3 to reset zegara). Powiedzmy ze czas parti to 5 min. Biezacy czas nie musi byc wyswietlany, wystarczy ze bedzie jakos zasygnalizowane, że czas uplynal (np zapali sie dioda...

    Programowalne układy logiczne   12 Lut 2005 18:59 Odpowiedzi: 1    Wyświetleń: 1509
  • Kostka do gry w VHDL-pomocy!!!!!!!!

    -- sterowanie zegarem cntclk <= '1' when (clk and w) else '0'; gate-owanie zegara to najgorsza rzecz jaka mozna zrobic w VHDL-u :), nawet narzedzia Xilinxa wykrywaja to i pisza co sadza o taki stylu kodowania ... takze to jest do wyremowania to samo uzyskamy uzywajac enable -- licznik, przyjmuje tylko 6 stanów gdy dobrze zresetowany Counter:...

    Programowalne układy logiczne   28 Mar 2006 08:34 Odpowiedzi: 15    Wyświetleń: 3934
  • KAMERA CMOS - Sterowanie z mikrokontrolera

    Raczej tańszego rowiązania niż to co opisałem wcześniej nie znajdziesz. Pozostaje Ci wywalić 100 na moduł do którego link był zapodany wcześniej. a jak juz pisałem troszeckę zgłebiałem temat swego casu i nie bez powodu napisałem, że ten moduł dziwnie przypomina ni pewien typ kamery z pewnego telefonu. Wnikliwych zapraszam na allegro. O ile pamiętam...

    Mikrokontrolery   16 Maj 2006 17:30 Odpowiedzi: 7    Wyświetleń: 5022
  • vhdl->symulacja a rzeczywistośc uk. fpga (xc3s200)

    Witam To już, następny wątek podczas pracy nad moim pierwszym układem z wykorzystaniem logiki programowalnej i vhdl'a; pokonałem konfigurowanie ale teraz układ nie zachowuje się tak jak podczas symulacji behawioralnej. Poniżej zamieszczę kod vhdl, aby ktoś mógł odnieść się krytycznie do sposobu w jaki to zapisałem, ale biorąc pod uwagę to, że symulację...

    Programowalne układy logiczne   05 Gru 2007 11:40 Odpowiedzi: 7    Wyświetleń: 2733
  • Przerabianie samochodziku na zdalno sterowanie

    Witam, Mam takie pytanie, mam do dyspozycji pewien uklad programowalny i mam go zaprogramowac korzystaja z jezyka VHDL. W skrocie, za pomoca tego ukladu mam dodac jakies nowe funkcje do jezdzacego samochodziku na zdalno sterowanie ze sklepu. Czy trudno bylo by cos takiego zrobic? Np dodac mozliwosc ciaglej jazdy do przodu, jakies nowe diody itp itd....

    Początkujący Elektronicy   27 Lut 2007 17:02 Odpowiedzi: 1    Wyświetleń: 687
  • ALU- plik rejestrów...VHDL

    Ludzie kochani, wspaniali, mądrzy i ktokolwiek tu jest. Proszę was o pomoc. Studiuję informatykę i szczerze mówiąc nie bardzo mi wchodzi vhdl....potrzebuję pilnie 3 ćwiczenia zrobić za 2 tygodnie, a nie mam pojęcia jak :( proszę was napiszcie mi to.... Muszę zaprojektować jednostkę arytmetyczno-logiczną umożliwiającą wykonanie wszystkich operacji arytmetyczno-logicznych...

    Początkujący Elektronicy   27 Maj 2008 19:32 Odpowiedzi: 0    Wyświetleń: 1684
  • Vhdl warning Due to other FF/Latch trimming ...

    Witam ponownie. Zignorowałem te warningi, symulacja zaczyna śmigać, narazie nic złego nie wnoszą, niemniej jednak zauważyłem zależność iż w każdym procesie muszą być te zmienne zmieniające się nie mogą mieć powiedzmy tylko jedenj wartości. Nie wiem czy to ma sens co mówię, poznaję dopiero ISE i VHDL-a. Kolejnym moim pytaniem jest czy wyprowadzenie w...

    Programowalne układy logiczne   03 Cze 2009 16:57 Odpowiedzi: 7    Wyświetleń: 1903
  • Projekt z VHDLa

    Nie bede pierwszym na tym forum ani oryginalnym. Mam do oddania w najblizsza sobote projekt z VHDLa ale nie mam bladego pojecia o tym jezyq. nie mam tez czasu na pisanie tego ( robie jeszcze inny projekt na ten weekend + musze sie nauczyc na 3 examy - rowniez na ten weekend :/ ). Nie bede owijal w bawelne - zlece zrobienie tego projektu za odpowiednia...

    Początkujący Elektronicy   20 Wrz 2006 18:41 Odpowiedzi: 0    Wyświetleń: 606
  • potrzebna pomoc w VHDLu

    witam potrzebuję pomocy na poniedziałek mam do zrobienia układ w VHDLu z operacji arytmetycznych ... układ ma dodawac mnożyć dzielić i odejmować... wybór działania ma być sterowany pewnym zadanym przez operatora sygnałem...układ ma być w technoligii ttl z sumatorami i komparatorami oraz jak komuś potzreba z multi - i demultiplexerami :) jakbyście mieli...

    Początkujący Elektronicy   15 Sty 2005 15:06 Odpowiedzi: 0    Wyświetleń: 492
  • VHDL - Testbench pamięci statycznej

    Dzień dobry, mamy z kolegą do napisania testbench (poniżej polecenie i wytyczne), do następującego kodu VHDL i nie wiemy jak go zrobić. Stwórz testbench VHDL dla pamięci statycznej rd13c. Wymagania: zapis całej pamięci z pliku binarnego lub tekstowego odczyt całej pamięci do pliku binarnego lub tekstowego automatyczna weryfikacja poprawności pracy pamięci...

    Programowalne układy logiczne   19 Cze 2016 18:55 Odpowiedzi: 0    Wyświetleń: 3117
  • [VHDL] instrukcja oczekiwania

    Witam! Jestem w trakcie pisania programu VHDL, który będzie sterownikiem sygnalizacji ulicznej (proste skrzyżowanie dwóch ulic bez przejść dla pieszych). Właściwie nie ma tu nic trudnego, bo wszystko się rozchodzi tylko o wysyłanie 1 albo 0 na poszczególne wyjścia układu w odpowiednich odstępach czasowych. Zastanawiałem się, jak zrobić te odstępny czasowe...

    Programowalne układy logiczne   29 Sty 2005 16:50 Odpowiedzi: 4    Wyświetleń: 2270
  • opóźnienie czasowe w vhdl'u

    Czy wie ktoś może jak zrobić w vhdl'u układ który będzie generował impuls wyzwalany narastającym zboczem sygnału wejściowego, a czas trwania tego impulsu żeby był ustawiany na 4 bitach wejściowych. Zależy mi na czasach do 3 sekund i koniecznie aby układ zliczał od początku gdy przyjdzie kolejny impuls wyzwolenia a jeszcze nie skończył generować poprzedniego...

    Programowalne układy logiczne   29 Maj 2007 17:26 Odpowiedzi: 2    Wyświetleń: 2705
  • Synteza procedury w VHDL - haczyk

    "]Jak na moje oko to program robiący syntezę "dał cienia" nie sadze, kompilator xilinx to stara, doswiadczona marka; to jest temat na wielogodzinna dyskusje z teoretykami symulacji i syntezy, jako praktyk powiem tyle: vhdl powstawal w czasach, gdy jeszcze fpga nie bylo na swiecie, powstal jako jezyk do modelowania wlasciwie czegokolwiek, niekoniecznie...

    Programowalne układy logiczne   15 Lis 2007 16:41 Odpowiedzi: 11    Wyświetleń: 1878
  • VHDL licznik mod + zliczanie doł-góra.

    Sprawa wygląda następująco , potrzebuje pomocy w napisaniu licznika działającego z mod8 mod4 mod2 mod1 , oraz musi zliczać w doł lub górę , oraz od pewnej liczby zawartej w rozkazie. in_MDS - magistrala po której przychodzi rozkaz od układu sterowania z adresem tego licznika (2 downto 1) - adres (1) - zapis/odczyt in_MG - magistrala po której przychodzi...

    Początkujący Elektronicy   25 Lut 2010 15:59 Odpowiedzi: 0    Wyświetleń: 5619
  • Obsługa wyświetlacza LED VHDL

    Cześć, Dopiero się uczę VHDL i mam do zrobienia zadanie Nie wiem czy dobrze. Proszę o pomoc przy korekcie jak coś jest nie tak. --########## DZIELNIK CZĘSTOTLIWOŚCI ########################################... library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_signed.all; use IEEE.numeric_std.all; entity dzielnik...

    Programowalne układy logiczne   26 Mar 2010 14:21 Odpowiedzi: 4    Wyświetleń: 4601
  • Sterowanie małą chłodziarką

    Najprościej zasilić cewkę napięciem stałym przez układ opóźniający RC. W tym przypadku najpierw prostownik, potem RC. Napięcie pracy przekaźnika dopasowane do napięcia szczytowego za prostownikiem. Drugie łatwiejsze i droższe rozwiązanie to standardowy przekaźnik czasowy, dający impuls po załączeniu zasilania. Nadal optuję za rozważeniem użycia PLC....

    Automatyka Przemysłowa   08 Lut 2012 15:21 Odpowiedzi: 5    Wyświetleń: 1359
  • VHDL/uBlaze - Prosty sumator asynchroniczny, detekcja sygnałów

    Witam, mam do napisania prosty asynchroniczny sumator 4-bitowy jako moduł VHDL sterowany z uBlaze'a po magistrali AXI. Jego kod zamieszczam poniżej: [syntax=vhdl]architecture IMP of SUM is signal output_var : std_logic_vector (4 downto 0); begin proc_name: process (Rst, input_1, input_2, Wr) begin if Rst = '0' then output_var <= "11111"; else if...

    Programowalne układy logiczne   28 Cze 2013 18:16 Odpowiedzi: 2    Wyświetleń: 2118
  • Altere DE2 - Wyświetlanie na LCD, VHDL

    Cześć, Mam za zadanie napisać oprogramowanie na płytkę Altery DE2 (Cyclone II), które będzie sterować wyświetlaniem znaków naciśniętych na klawiaturze podłączonej do niej złączem Ps/2 na wyświetlaczu LCD (oparty jest on na sterowniku HD 44780). Poskładałem komponenty odpowiedzialne za odczyt klawiszy z klawiatury(napisane przeze mnie) oraz sterowanie...

    Programowalne układy logiczne   05 Cze 2013 20:23 Odpowiedzi: 0    Wyświetleń: 1842
  • Jak zrobić wewnętrzną magistralę danych?? VHDL

    W VHDL szynę (a wlaściwie wymusznie stanu na niej) robi się za pomocą trójstanowości(buforów trójstanowych). W przypadku gdy nie chcesz sterować szyną z danego źródła to zamiast "1" lub "0" wystawiasz stan "Z". Proste. Tylko jest jeden problem. To musi mieć odpowiednik fizyczny w syntetyzowanym ukłdzie. Wiekszość rodzin układów FPGA (ze wzgledów technologicznych)...

    Programowalne układy logiczne   01 Sie 2007 12:45 Odpowiedzi: 2    Wyświetleń: 1788
  • "Zmienne globalne" w VHDL oraz ich modyfikacja pop

    Chciałbym mieć kilka procesów które... W jakim sensie procesów? W vhdl process to słowo kluczowe.:D Można pokombinować z zadeklarowaniem jakoś sygnału (lub czegokolwiek) w jakimś package-u Ale to jest niezalecane rozwiązanie i bardzo utrudnia potem debugowanie projektu. (jedynie sygnału)To jest mechanizm najbardziej podobny do zmiennej globalnej i...

    Programowalne układy logiczne   19 Kwi 2008 18:34 Odpowiedzi: 5    Wyświetleń: 2703
  • [VHDL] Sterownik temperatury

    Jest to jak najbardziej wykonalne, choć dla temperatury zapewne wystarczyłby niewielki uC zamiast FPGA. W CPLD pewnie się nie zmieścisz ze względu na operacje mnożenia. Plus jest taki, że pętla sterowania może działać bardzo szybko. Minus jest taki, że do sterowania temperaturą na 99% nie jest potrzebna taka szybkość działania. Do rozwiązania zadania...

    Programowalne układy logiczne   08 Maj 2010 20:28 Odpowiedzi: 1    Wyświetleń: 911
  • Vhdl Rejestr 5 bitowy przerzutnik typu D z przesunieciem

    Witam muszę nauczyć tworzyć rejestry n bitowe z przerzutników typu D i T z funkcjami przesunięcia w lewo lub w prawo, zapis, i np suma. Wszyto ma być w zapisie VHDL walczę już z tym od 2 tygodni mam problem z odwzorowaniem wszystkich sygnałów dla przykładu O jej,... Twój opis VHDL jest mało adekwatny do tego co chcesz zrobić. A naprawdę wystarczą bazowe...

    Programowalne układy logiczne   30 Cze 2011 18:35 Odpowiedzi: 4    Wyświetleń: 2859
  • Tworzenie pamięci RAM16x60 w VHDL ModelSIM wykorzystując plik RAM8x2

    Dzień dobry, zwracam się z następującym problemem: W laboratoriach (narzędzia cad do projektowania cyfrowych układów sterowania) z Model sima, musimy zrobić pamięć ram16x60 używając tylko i wyłącznie pliku z pamięcią ram8x2. Niestety jakolwiek bym się do tego zabrał, utworzony blok pamięci ram16x60 nie zapisuje danych. Jest to tylko część z tego laboratorium,...

    Programowalne układy logiczne   19 Sty 2021 21:20 Odpowiedzi: 8    Wyświetleń: 825
  • VHDL, testbanch,sygnaly z architecture widziane w testbanchu

    Witam Mam problem z testbanch-em. Napisalem w VHDL program i w entity sa podane 4 sygnaly wej ktorymi steruje sygnaly ktore sa zdefiniowane w architecture typu STD_LOGIC. Teraz chcem wygenerowac testbencha wiec daj "Generate Testbanch" i mi go generuje. W testbanchu sa tylko sygnaly ktore byly w entity czyli te wej. Po ustawieniu tych sygnalow w testbanchu...

    Programowanie   04 Lut 2006 22:48 Odpowiedzi: 7    Wyświetleń: 983
  • VHDL CPLD optymalizacja ukladu

    Witam, zlecono mi do optymalizacji (modyfikacje w kodzie programowym)pewien zlozony ulad, z ktorym nigdy nie mialem do czynienia i zadania takiego nei potrafie wykonac. Chcialbym jednak wybrnac z tego i zlecic to komus bardziej dosiwadczonemu. Rzecz dotyczy ukladu zbudowanego w oparciu o 2 kosci ATF1508 programowane w VHDL(Kody zrodlowe programow posiadam)...

    Programowalne układy logiczne   20 Cze 2007 16:33 Odpowiedzi: 2    Wyświetleń: 1476
  • VHDL - sterownie klawiaturą

    Witam Czy posiada ktoś w swoim "archiwum" kod programu w VHDL, który umożliwia sterowanie jakimś obiektem (kropeczka) na ekranie monitora za pomoca klawiatury. Czy jest ktoś w stanie pomoc mi w tym projekcie??

    Programowalne układy logiczne   13 Lut 2008 15:23 Odpowiedzi: 2    Wyświetleń: 1065
  • [VHDL] microcontroller 8051

    Zacznij od dogłębnego przestudiowania architektury. Zbierz informacje na temat wszystkich instrukcji oraz ich kodowania. Pogrupuj instrukcje - będziesz miał kilka kryteriów podziału, np: * wg. czasu wykonania (cykle), * długości zakodowanej instrukcji (ilość bajtów), * typu adresowania (rejestry, pamięć, bezpośrednie, I/O, adresowanie bitowe), * rodzaju...

    Programowalne układy logiczne   18 Maj 2009 22:10 Odpowiedzi: 2    Wyświetleń: 1251
  • [VHDL] pamięć równoległa typu M29Wxxx

    Hmm, a jaki masz dokładnie problem? W tej pamięci jak odpowiednio linie sterujące na stałe to potem wystarczy zmieniać adres i odczytywać dane, nie trzeba nic robić, no może poza mały opóźnieniem, ale to zależy jak szybki masz układ sterujący.

    Programowalne układy logiczne   24 Maj 2009 13:30 Odpowiedzi: 1    Wyświetleń: 1068
  • VHDL napis na 4 wyświetlaczach 7-segmentowych

    Witam dopiero zaczynam przygodę z VHDL i nie wiem co zrobić z błędami pojawiającymi się w tym programie. Układ ten powinien sterować 4 7-segmentowymi wyświetlaczami. Powinien wyświetlać się napis "fala" przesuwający się w lewo. library IEEE; USE ieee.std_logic_1164.all; entity fala is port( we: in std_logic_vector (2 downto 0); wy:...

    Programowalne układy logiczne   07 Lip 2009 18:49 Odpowiedzi: 4    Wyświetleń: 1844
  • [vhdl] tworzenie rozkazów

    Witam Mam zrealizować projekt procesora w VHDL, który rozpoznaje pewne rozkazy. Korzystam z gotowego kodu w którym zrealizowane są rozkazy M0, M1 - dekodowanie rozkazu, M10 - WAIT, M11 Jak zrealizować rozkazy: M24 - INC arg1 (inkrementacja arg1, wynik w arg1,arg1 jest rejestrem, adresem w pamięci lub stałą), M25 - DEC arg1 (dekrementacja, reszta tak...

    Mikrokontrolery   07 Cze 2010 20:51 Odpowiedzi: 0    Wyświetleń: 792
  • vhdl problem z przyciskami

    problem polega na tym: przykładowo chcę sterować dwoma klawiszami linijkę diod- tak aby świeciła się tylko jedna ( chodzi mi o realizację programową ). Ma to być układ synchroniczny i po jednym naciśnięciu klawisza ma być przesunięcie diody o jeden. W jaki sposób to zaimplementować w następujący sposób if CLK'event and CLK = '1' then if UP'event and...

    Programowalne układy logiczne   18 Lut 2011 11:19 Odpowiedzi: 7    Wyświetleń: 1788
  • [ISE13_1 VHDL] błąd syntezy.

    Był już taki temat poruszany sprawie zegara. Epidemia jakaś... Między clk'event and clk = '1' ,a rising_edge(clk) jest różnica, która objawia się w symulacji. Pierwszy reaguje na dowolną tranzycję z stanu m.i. 'Z' 'L' 'H' na '1', natomiast druga funkcja tylko z '0' na '1'. Dlatego według mnie bardziej zwięźle stosować tą drugą, już sama nazwa rising_edge...

    Programowalne układy logiczne   09 Lis 2011 08:12 Odpowiedzi: 6    Wyświetleń: 1905
  • Sterownik windy w języku VHDL

    Witam mam za zadanie napisać w VHDL program oparty na automacie pt sterownik windy. Jestem kompletnie początkujący w tym języku a projekt to swoista nowość. Nie chodzi mi o rozwiązanie tego problemu a podrzucenie kilku luźnych sugestii jak można się do tego zabrać. Przyznam że narazie nie mam żadnego pomysłu jak mam to zrealizowć. Za wszelką pomoc...

    Programowalne układy logiczne   24 Lis 2011 09:08 Odpowiedzi: 1    Wyświetleń: 1666
  • kalkulator vhdl z wykorzystaniem klawiatury PS2

    Używanie klawiatury w vhdl'u library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity KeyboardController is Port ( Clock : in STD_LOGIC; KeyboardClock : in STD_LOGIC; KeyboardData : in STD_LOGIC; LeftPaddleDirection : buffer integer; RightPaddleDirection : buffer integer...

    Programowalne układy logiczne   03 Sty 2012 18:19 Odpowiedzi: 2    Wyświetleń: 2632
  • VHDL, obsługa przetwornika ADC 0832ccn

    Mam problem z odczytywaniem napięcia z przetwornika analogowo cyfrowego adc0832ccn, dip8. A oto mój niedziałający kod: [syntax=vhdl]ibrary IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_SIGNED.ALL; entity ac is port( clk_50MHz: in std_logic; dioda:out std_logic_vector(7 downto 0); AC:in std_logic; --podpięte po wyjście...

    Programowalne układy logiczne   06 Sty 2012 03:51 Odpowiedzi: 0    Wyświetleń: 1199
  • [vhdl] Zegar na płytce nexys2

    Kiedy się procesów (czy pojedynczych encji) nie synchronizuje zegarem, to kiedy właściwie zmienia się ich stan ? Dodawanie wszędzie takiej synchronizacji z kolei wydaje się być uciążliwe (pchanie w każdy blok CLK), choć z drugiej strony umożliwia synchronizację na takty z różnych zegarów. Nie da się tego jakoś sensownie użyć ? Na przykład encji użyć...

    Mikrokontrolery Początkujący   14 Kwi 2012 23:29 Odpowiedzi: 3    Wyświetleń: 1467
  • Rejestr przesuwny 4-bitowy VHDL

    Po waszych sugestiach poprawiłem swój kod niestety nie miałem jeszcze okazji go przetestować. Zmieniłem także funkcje shift left i right , mianowicie nie maja one być teraz sterowane osobnymi przełącznikami ,a jednym wspólnym który ma decydować o przesuwaniu w lewo lub prawo w zależności od swojego stanu. A funkcja LOAD ma wczytać do układu kombinacje...

    Programowalne układy logiczne   09 Gru 2014 11:05 Odpowiedzi: 9    Wyświetleń: 3015
  • VHDL - Niedziałająca maszyna stanów

    Witam, w trakcie pisania maszyny stanów, przy pomocy której chciałem zrealizować interfejs, którym chciałem sterować kolejnymi modułami natchnąłem się na problem, którego nie mogę przeskoczyć. Otóż chodzi o to, że gdy zwiększam liczbę stanów zaczyna ona "wariować", tzn na symulacji widzę, że przeskakuje w losowe stany, rozmawiałem z prowadzącym zajęcia,...

    Programowalne układy logiczne   26 Cze 2016 13:01 Odpowiedzi: 2    Wyświetleń: 4239
  • Zmiana częstotliwości dźwięku wydawanego przez buzzer w VHDL (Spartan)

    Witam wszystkich, bardzo proszę o radę :) Robię projekt na Spartanie 3E w VHDL, którego celem jest generowanie z buzzera dźwięków o różnych częstotliwościach za pomocą klawiatury matrycowej 4x4. Klawiature mam już zdekodowaną. Na obecnym etapie każdemu przyciskowi przypisana jest inna konfiguracja LED'ów (szereg ledów reprezentuje binarnie numer przycisku...

    Programowalne układy logiczne   03 Wrz 2018 18:13 Odpowiedzi: 2    Wyświetleń: 1137
  • Przerzutnik typu D w VHDL'u - problem przy wyzwalaniu stanem

    Hazard jest dla tego że masz dwa niezależne bloki instrukcji IF sterujące TYM samymy wyjściem. To musi mnie-więcej wyglądać tak IF (res = '1') THEN Q<= '0'; elsIF (op = '0') THEN Q<= D; elsIF (op = '1') THEN Q<= Q; END IF; Nie mam pod ręką kompilatora bo bym sprawdził jak to się zachowuje. Proponuje prześledzić układ...

    Mikrokontrolery   08 Kwi 2003 13:15 Odpowiedzi: 10    Wyświetleń: 2277
  • VHDL

    Ukklady cyfrowe firmy ALTERY potrafia zrobic praktycznie wszystko. Zalezy tylko od twojej wyobrazni. Ja osobiscie robilem uklady sterowania do sterowania 64 kluczami. Przy czym rownoczesnie sterowal przetwornikiem ADC i DAC. Kiedys robilem projekt z ukladami pamieci EPROM, SRAM. Teraz pracuje nad mozliwoscia sterowania kilkadziesiat urzadzeniami poprzez...

    Mikrokontrolery   05 Gru 2004 13:21 Odpowiedzi: 5    Wyświetleń: 1668
  • Projekt prostej gry w VHDL

    Yo !!!!!!!! ?????? ( nie wiem co to, ale niech bedzie :) Skoro masz uzyc Spartan II , to najprosciej bedzie chyba wykonac modul VGA. Ta kostka ma w sobie wszystko co Ci bedzie potrzebne, a wiec logike, Ram i porty I/O. RAM bedzie Ci potrzebny do bufora znakow do wyswietlenia i do skladowania zawartosci generatora znakow. Logike uzyjesz do sterowania...

    Mikrokontrolery   18 Sty 2005 11:59 Odpowiedzi: 3    Wyświetleń: 1556
  • czyszczenie styków - VHDL

    niesty całość, prawda jest taka że nie za bardzo wiem jak do tego całego podejść, bo napisać coś w vhdlu a stworzyć działającą całośc to dwie różne rzeczy, ale czy ten nowy opis jest lepszy iczy eliminuje wspominane niedociągnięcia ps. co da samego układu, może jeszcze warto wspomnieć o różnicy w zasilanu układu sterującego (5V) i przełącznika(12V)...

    Mikrokontrolery   27 Kwi 2005 00:32 Odpowiedzi: 24    Wyświetleń: 3646
  • licznik modulo 100 vhdl jak sprawdzac 2 niezalezne zegary

    witam, wszytskich dostem na laborce z ukladów programowalnych do zrobienia licznik modulo 100, ktory po pryzcisnieciu 1 przycisku ma zliczac w gore, a innego -w doł. problem nie jest chyba w samym liczniku, ale w sterowaniu. taktowanie licznika ma odbywac sie za pomoca tych wlasnie niezaleznych przyciskow, niezaleznie w dol i gore. zrobilem cos takiego,...

    Początkujący Elektronicy   22 Sty 2007 21:13 Odpowiedzi: 0    Wyświetleń: 1491
  • [VHDL]Spartan2 i termometr 1Wire DS1820

    :cry: No to chyba cos jest ze mna nie tak... ..kwestie, zasilania i kabla do DS1820 i podpiecia go do plytki ze Spartanem 2 mam wykonana.... Wyswietlacz 7 segmentowy, przemiatany sekwencyjnie mam zrobiony... Problemem jest zachecenie ds'a do wspolpracy... ...nie potrafie przebrnac przez obsluge tego wszystkiego... ...generalnie odpowiedz w stylu, ze...

    Programowalne układy logiczne   10 Lis 2009 14:58 Odpowiedzi: 20    Wyświetleń: 6648
  • vhdl - rozdzielenie sygnału

    witam , proszę o pomoc w następującym problemie chciałbym rozdzielić sygnał ( g) generatora 8 bitowego zdeklarowanego jako out (1 to 8) i rozdzielić go na dwa sygnały po 4 bity wejściowe z przyporządkowaniem pierwsze 4 jako wejście dla jednego (a) dekodera (3 downto 0) a drugie 4 bity generatora 5-8 do drugiego (b) dekodera (7 downto 4). Opis - jest...

    Programowalne układy logiczne   26 Kwi 2007 10:58 Odpowiedzi: 4    Wyświetleń: 1971
  • Jaki zestaw Development Kit do nauki FPGA i VHDL?

    Chciałbym poznawać po kolei układy AVR, ARM, 8051 i wydaje mi się, że FPGA jest najlepszym wyjściem Nie slyszalem jeszcze o szkole uczenia sie prockow poprzez implementacje ich architektury w FPGA ale jak ktos jest masochista to droga wolna. bo nie musze kupować żadnych układów, wystarczy że wgram sobie odpowiedni procek :) Jasne, zadnych ukladow....

    Programowalne układy logiczne   26 Cze 2008 20:04 Odpowiedzi: 39    Wyświetleń: 16610
  • VHDL - realizacja +/- na std_logic_vector (z przyciskami)

    z ciekawosci skompilowalem rzeczony kawalek quartusem; wynik syntezy dal na koncu logiki MUX sterowany sygnalem Dec, czyli przypisal mu wyzszy priorytet niz Inc jak twierdzil To ja proponuje jeszcze eksperyment z dodtkowymi "if"-ami sterowanymi kolejnymi sygnałami typu: if (StepLeft = '1') then SC <= SC + "00010000"; Sum <=...

    Programowalne układy logiczne   10 Paź 2007 12:00 Odpowiedzi: 18    Wyświetleń: 3832
  • VHDL: automat stanwó i latch'e...

    poziom <= poziom; obroty <= obroty; temperatura <= temperatura; w ten sposób jawnie deklarujesz że chcesz latche twój problem jest jednak zupełnie inny, otóż próbujesz przy pomocy procesu kombinacyjnego uzyskać rejestry, a to nijak ci się nie uda. maszyna stanu służy do sterowania a nie zapamiętywania, wobec takiej koncepcji użyj jej jedynie...

    Programowalne układy logiczne   19 Lut 2008 16:57 Odpowiedzi: 8    Wyświetleń: 2342
  • VHDL Quartus II automat do sprzedazy napojow

    Napisz algorytm pracy, co się dzieje w poszczególnych chwilach życia układu, a potem podziel to na bloki realizujace funkcje i automat sterujący całością.

    Początkujący Elektronicy   10 Lut 2008 20:22 Odpowiedzi: 1    Wyświetleń: 960
  • Pomoc z VHDL

    rozumiem ze kod jest OK :) teraz muszę jeszcze przedstawić schemat blokowy wraz z diadą na wyjściu i sterowaniem dwoma przyciskami jaśniej/ciemniej i muszę to również przedstawić na płytce drukowanej :/ znalazłam taki schemat blokowy PWM, czy będzie dobry? Dodano po 44

    Programowalne układy logiczne   20 Kwi 2008 11:47 Odpowiedzi: 26    Wyświetleń: 3333
  • FPGA i wysylanie bajtu rs232/usart/uart w vhdl

    Witam! Mam problem z wyslaniem bajtu z FPGA do atmegi8. Atmega odbiera poprawnie (sprawdzalem echo i przykladowy program z ksiazki "FPGA w przykladach"). Przyklad z ksiazki smiga dobrze, wysyla 0x55 i avr dobrze odbiera ten kod, ale ja potrzebuje wysylac bajty w wiekszej ilosci(i konkretne a nie jak na zbycie czytelnika w ksiazce na zmiane 0 i 1))....

    Programowalne układy logiczne   03 Wrz 2008 14:35 Odpowiedzi: 9    Wyświetleń: 3129
  • [cpdl][vhdl] Probkowanie sygnalow na zboczu opadajacym

    Normalnie bym tego tak nie próbował zrobić, ale zachęcił mnie DualEdge CoolRunnera. Taki kod na przykład chodzi (licznik jest inkrementowany na obu zboczach): P2: Process(clk,nRST, output) begin if (nRST = '0') then output <= (others => '0'); elsif (clk'event) then output <= output +1; end if; end process...

    Programowalne układy logiczne   26 Lis 2008 18:48 Odpowiedzi: 8    Wyświetleń: 2067
  • Generator sygnalu 3 fazowego - trudne poczatki VHDL

    /.../Zastanawia mnie jednak dlaczego tak sie działo/.../ masz w kodzie tak: if L<=2 then /.../ if L=2 then adress <= adr3; L3<= d_in; adr3<=adr3+1; L<=L+1; --<==== end if; else L<=(others=>'0'); end if; Twoje L powinno przyjmowac wartosci 0,1,2, a przyjmuje 0,1,2,3; 'else' wydaje mi sie niepotrzebne; tak jak chciales...

    Programowalne układy logiczne   20 Sty 2009 22:58 Odpowiedzi: 15    Wyświetleń: 3925
  • Stoper na Spartan3 (VHDL)

    No dobrze;) Może trochę się usprawiedliwię, takie 3 reakcje na zbocza rosnące zastosowałem, ponieważ zboczę rosnące jest już jakimś zabezpieczeniem przed wielokrotną zmianą sygnału w wypadku reakcji na poziom sygnału (np zegarowego). Jak się okazało na końcu mój tok myślenia okazał się niesłuszny :) 1)To może zapytam jeszcze, jak można zrobić "zabezpieczenie"...

    Programowalne układy logiczne   27 Maj 2009 22:48 Odpowiedzi: 8    Wyświetleń: 2651
  • Rozproszony RAM w ISE (RAM/FF) (Verilog/VHDL)

    Udało się rozwiązać mój problem. Ale najpierw skomentuję odpowiedz TW. ct_dev_num jest rejestrem czy wyjsciem jakiegos bloku kombinacyjnego? Jesli to rejestr, to powinno dzialac. ISE nie zsytntezuje pamieci distributed/block RAM jesli adres lub dane nie sa wyjsciem rejestru. Pzdr TW W moim przypadku ct_dev_num był wyjściem rejestru, a mimo to, jak już...

    Programowalne układy logiczne   22 Lip 2009 20:59 Odpowiedzi: 2    Wyświetleń: 1495
  • VHDL jak wykyrywać pojedyncza zmianę stanu przycisku

    No to musisz sobie zdefiniowac jakiś przerzutnik sterujący kierunkiem zliczania a jego stan zmieniać powiedzmy narastającym zboczem pochodzącym od (wyfiltrowanego z drgań styków) sygnału przycisku

    Początkujący Elektronicy   14 Gru 2009 18:53 Odpowiedzi: 2    Wyświetleń: 1071
  • Xilinx XC9536XL, Stoper w VHDL - optymalizacja kodu

    Witajcie!!! Robię projekt stopera. Po analizie tematu( library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity stoper is Port ( anody :...

    Mikrokontrolery   29 Gru 2009 20:49 Odpowiedzi: 5    Wyświetleń: 4991
  • zegar VHDL 24 godziny

    Dziękuje za odpowiedzi, Zebrałem się i napisałem jeszcze raz zegarek w innej wersji. library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity clock2 is Port (bt1 : in std_logic; --ustawianie godzin bt2 : in std_logic; --ustawianie minut clk : in std_logic; --zegar led : out...

    Programowalne układy logiczne   07 Sty 2010 21:44 Odpowiedzi: 8    Wyświetleń: 4297
  • Potrzebna pomoc: Prosty kalkulator w VHDL

    Witam Kilka uwag: - jakiś reset by się przydał (wartości początkowe sygnałów np A : std_logic := '1' są niesyntezowalne) - jakieś tłumienie drgań zestyków (debouncer), chyba, że masz go w osobnym module - dlaczego m0 i m1 zadeklarowałeś jako inout? - dziwna lista czułości pierwszego procesu - po co tam np S1, skoro do sprawdzania go dojdziesz tylko...

    Programowalne układy logiczne   25 Maj 2010 16:03 Odpowiedzi: 7    Wyświetleń: 3376
  • [VHDL] problem z prostym automatem

    Symulujesz czy syntezujesz? Czym? W rzeczywistości powinieneś mieć 3 procesy: 1) rejest stanu automatu 2) funkcja przejść i wyjść automatu 3) rejestr przesuwny z wejściem enable sterowanym wyjściem automatu. a0 jest elementem sekwencyjnym, więc zmiany jego wartości powinny być taktowane zegarem. Pozdrawiam, Dr.Vee

    Programowalne układy logiczne   03 Maj 2010 23:59 Odpowiedzi: 2    Wyświetleń: 1076
  • Zegar czasu rzeczywistego vhdl

    A pomijając to dekodowanie mógłbyś rzucić okiem na resztę kodu? Nie. Właśnie to jest klucz do rozwiązania Twojego problemu, należy zacząć od tego. Jest to akademicki przykład. 4x8=32 bity , 4-bitowy wektor, to liczba 0-9 binarnie (0000-0, ..., 1001 - 9) , 8 to łatwo się domyślić (HH:MM:SS:MS) . Potem case (bo pewnie multipleksowanie), wrzucasz zegar...

    Programowalne układy logiczne   06 Sty 2011 17:13 Odpowiedzi: 21    Wyświetleń: 3387
  • [vhdl] Model układu LM75 z wykorzystaniem bibliotek FMF

    Czy ktoś z Was miał kiedyś do czynienia z bibliotekami FMF? Muszę zrealizować model tego urządzenia wykorzystując właśnie a nie za bardzo potrafię do zrobić. LM 75 • model układu sterującego termometrem cyfrowym LM 75 • komunikacja szeregowa I2C • symulacja pomiaru temperatury Czy ktoś byłby w stanie pomóc? Miałbym do napisania kontroler...

    Programowalne układy logiczne   08 Sty 2011 22:24 Odpowiedzi: 1    Wyświetleń: 1466
  • VHDL - dwukierunkowe połączenie dwóch magistral

    Witam, Mam bloczek w vhdl'u: entity BeckCtl is Port ( D_IN : inout STD_LOGIC_VECTOR (15 downto 0); D_OUT : inout STD_LOGIC_VECTOR (15 downto 0); wr_in : in STD_LOGIC; rd_in : in STD_LOGIC; end BeckCtl; Z jednej strony posiada magistralę danych podłączona do zewnetrznego układu (D_IN), a z drugiej strony magistralę...

    Programowalne układy logiczne   25 Lut 2011 21:21 Odpowiedzi: 1    Wyświetleń: 1363
  • [ISE12.2, VHDL, spartan 3e 1600] implementacja I2C

    Witam Mam taki projekt i2c: [url=]Link, czy ktoś mógłby mi podpowiedzieć jak poustawiać rejestry i jak sterować modułem aby wysłać kilka bajtów do odbiornika? Mam opis w pdf'ie ale nie mogę zrozumieć co jest od czego.

    Programowalne układy logiczne   30 Kwi 2011 10:23 Odpowiedzi: 2    Wyświetleń: 1402
  • VHDL schemat kompresora danych (Huffman)

    a jak wyrzucić największą wartość ze zbioru wartości? Troszkę wyżej: Chcę zeby funkcja posortowała mi w moim koderze huffmana prawdopodobieństwa od najmniejszego do największego tymczasem funkcja wyrzuca mi tylko największą wartość. :P 1) Maszyna stanów, objeżdża całą pamięć lub grupę rejestrów. Z reguły pojedyncza dana na cykl. Mało logiki, relatywnie...

    Programowalne układy logiczne   25 Gru 2011 17:16 Odpowiedzi: 10    Wyświetleń: 2800
  • <vhdl> zrobienie CLOCK'a

    Tak jak powiedział przedmówca napisał, wait nie możesz użyć w ciele architektury, gdyż dla syntezatora to nic nie znaczy. Nie możesz wskazać w logicznym układzie, żeby coś miało opóźnienie 10ns? Syntezer nie ma żadnych informacji o zegarze, a przecież od tego zależy częstotliwość działania układu. Poza tym, zadaniem syntezera jest stworzenie logicznego...

    Programowalne układy logiczne   25 Paź 2011 18:33 Odpowiedzi: 13    Wyświetleń: 2977
  • VHDL - Połączenie komponentów - port map

    No i nie działa, wysypało się spektakularnie ;) ok, już działa, problem był w tym że dodałeś zerowanie wyjść, a tym steruje inny proces... Wychodzi, że nie jest to do końca połączone...

    Programowalne układy logiczne   15 Gru 2011 13:14 Odpowiedzi: 11    Wyświetleń: 3792
  • VHDL Generator PWM quartus II

    W momencie gdy chcialem wykonac symulacje, na wejscie CLK podalem sygnal 24MHZ zas nie otrzymuje nic na wyjsciu z bloku PLL i nie wiem kompletnie dlaczego? przeczytaj uwaznie komunikaty, zwlaszcza ostrzezenia, z kompilacji quartusa; z doklejonego obrazka mozna wniskowac, ze zle polaczyles [nie polaczyles] wyjscie, skoro uklad nie steruje zadnego wyjscia,...

    Programowalne układy logiczne   04 Lip 2013 08:56 Odpowiedzi: 4    Wyświetleń: 4332
  • Co do nauki VHDL i układów programowalnych? Zestaw uruchomieniowy.

    A jeszcze tak wracajac do pamieci nie myslales by dac GDDR ZTCW kontroler pamięci w Spartanach 6 nie obsługuje GDDR. Pozdr, TWl A tego to ja nie wiem :) zabawe z Xilinx-em zakonczylem na plytce "altium livedesign evaluation board" z Spartanem 3 :) nie mam pojecia co teraz jest tam dostepne... Ja tam sie bawie malymi Alterami teraz bardziej bez wiekszych...

    Programowalne układy logiczne   22 Maj 2014 10:53 Odpowiedzi: 29    Wyświetleń: 3828
  • [VHDL] - Implementacja PWM

    Dorzucę tylko, że zazwyczaj robi się prescaler do PWM, dzięki temu działa to znacznie lepiej niż bez, lub ogólnie steruje się go wolniejszym sygnałem niż to co dostajesz z kwarcu.

    Programowalne układy logiczne   20 Cze 2015 23:07 Odpowiedzi: 5    Wyświetleń: 2769
  • [cpld][vhdl] - 1szy projekt - Licznik do adresowania pamięci ROM

    Liczniki potrzebują zegara, a dokładniej jego zbocza do zatrzaśnięcia kolejnych wartości. Jeżeli licznik ma się inkrementować przy odczycie to można go taktować (zatrzaskiwać kolejne wartości) sygnałem 'lowRD'. Musisz wtedy zwrócić uwagę w jaki sposób urządzenie nadrzędne generuje sygnały sterujące. Gdy zostanie wystawiony sygnał odczytu i zmieniony...

    Programowalne układy logiczne   16 Lis 2015 23:55 Odpowiedzi: 7    Wyświetleń: 2676
  • [VHDL] - Niedziałąjąca symulacja

    FPGA to nie procesor, ktory pobiera linijke po linijce kod vhd i go wykonuje, lecz zbior bramek i przerzutnikow ktore synteza laczy ze soba wg. opisu w vhd. Twoj opis nie daje syntezie 'oczywistego' obrazu jak zrealizowac algorytm. W szczegolnosci FPGA nie wie, ktory to CLK9600'event nastapil, wiec ten kod jest nierealizowalny. [Twoj kod wymaga takiej...

    Programowalne układy logiczne   11 Gru 2015 08:06 Odpowiedzi: 3    Wyświetleń: 2136
  • Poprawny wewnętrzny Reset bez użycia pinu IO [VHDL].

    Chodzi o to: [syntax=vhdl]process_SetFSM : process(CLK_100MHz, I2C_stop, I2C_start) begin if (I2C_stop = '0') and (I2C_start = '0') then address <= (others => '0'); i2c_addr <= (others => '0'); write_buf <= (others => '0'); elsif (I2C_stop = '1') or (I2C_start = '1') then ACK <= '0'; noACK <= '0'; SDA_out_active <= '0'; SDA_out...

    Programowalne układy logiczne   14 Lis 2020 10:34 Odpowiedzi: 33    Wyświetleń: 1788
  • [Elbert v2] [Spartan 3A] Podłączenie wielu komponentów VHDL do magistrali we/wy

    Trochę za mało kodu jest podane, żeby można stwierdzić dokładnie, co jest nie tak. Generalnie, do tworzenia dwukierunkowych magistral można użyć portów typu inout wykorzystywanych jako trójstanowy sygnał. W sytuacji, gdy dany moduł nie jest używany, wówczas przechodzi w stan wysokiej impedancji 'Z'. Jednak nie jest to zalecane, ponieważ wewnątrz tych...

    Programowalne układy logiczne   14 Lut 2023 20:02 Odpowiedzi: 1    Wyświetleń: 378
  • Sterowanie 25-ma zarowkami z mikrokontrolera!

    Trzeba do tego zrobic 25 programowych PWM-ow. Bardzo trudne zadanie. Oczywiscie do przejscia. Tak się sklada ze pracuje dla jednej z firm robiacej takie urzadzenia i robimy dimmery w ktorych jeden procesor 20 MIPS 16 bit obsluguje 36 kanalow. Ale programista siedzial nad tym rok. Mysle, ze firma powinna tego programiste zwolnic z wilczym biletem. Nad...

    Mikrokontrolery   03 Sie 2007 10:10 Odpowiedzi: 18    Wyświetleń: 3796
  • Projekt układu sterowania (CSMA/CD)

    Witam, mam zrobić na zaliczenie projekt z techniki cyfrowej. Muszę zaprojektować jakiś układ sterowania -sieć działań około 10 klatek war. i operac. i musi byc układ przetwarzania danych. Projekt będe realizował w Active HDL-u (vhdl) i implementował w FPGA. I mam pare pytań w zwiążku z tym: Czy mechanizm CSMA/CD nie będzie za trudny do zrealizowania?...

    Mikrokontrolery   21 Paź 2005 15:54 Odpowiedzi: 0    Wyświetleń: 888
  • PWM - zasada działania, sterowanie

    witam serdecznie Potrzebuję informacji na temat PWM. Chcę nim sterować silnikiem prądu stałego. Tylko jak zaimplementować PWM w języku VHDL? Chcę mieć dodatkowo możliwość zmiany kierunku obrotów silnika. mój układ wykorzystuje kostkę BA6418N. Proszę o pomoc. z góry dziękuję.

    Automatyka Przemysłowa   27 Maj 2009 09:31 Odpowiedzi: 0    Wyświetleń: 1086
  • Proszę o sprawdzenie schematu "Sterowanie LeD PWM XC953

    Witam Mam do wykonania projekt według założeń. Układ sterowania PWM oparty na Xilinx XC9536XL Diodą Super jasną (3,3V /20 mA) Stabilizator Low drop (3.3 czy 5 v ?) Zegar timer ICM7555 Zasilacz Firmy Tartek Niestabilizowany (12v/50 mA) Stopni jasności 32 (nie ważne) I teraz ponieważ jest to projekt musze go oddać na papierze. Posiadam program napisany...

    Nauka Elektroniki, Teoria i Laborki   14 Sty 2010 18:19 Odpowiedzi: 1    Wyświetleń: 2075
  • Framework AVR GCC do tablicowego sterowania pinami Atmegi jak w Arduino

    Czy można w jakiś sposób skryptem python/Autoit3 wprowadzić schemat do aplikacji Proteus firmy Labcenter? Jeśli znasz API lub inne metody z chęcią poznam te mozliwości skryptowania. Możesz przytoczyć przykład, który wybiera z Part managera Atmegę 8 i podłącza LED z rezystorem anodą do pinu PB3 ? Proteus firmy Labcenter to popularne oprogramowanie do...

    Mikrokontrolery Początkujący   07 Lut 2024 13:34 Odpowiedzi: 71    Wyświetleń: 798
  • Karta PCI-sterować inne urządzenie (przez przekaźnik).

    PCI też powoli znika, ale nie o tym temat. Masz w zasadzie 2 rozwiązania: - kartę z mostkiem PCI-ISA i układ wpinany na ISA, - kartę prototypową PCI z FPGA i użycie jakiegoś otwartego rdzenia PCI w VHDL czy Verilogu. W komputerze oprócz PCI jest jeszcze USB - sądzę, ze z USB mniejszym wysiłkiem osiągniesz swój cel, chyba że zależy Ci na maksymalnej...

    Komputery Hardware   19 Lis 2007 01:31 Odpowiedzi: 1    Wyświetleń: 1402
  • silnik krokowy sterowanie ucy74194

    Zegar taki jest tylko w symulacji :) Dodano po 1 Przy próbie kompilacji (bo robię to w języku VHDL ale obiektowym) do ALTERY wyskakuje mi błąd. Zaznaczyłem połączenie które jest błędne. Przepraszam że tak brzydko zaznaczylem ale robiłem to w paincie.

    Automatyka Przemysłowa   28 Mar 2008 18:12 Odpowiedzi: 22    Wyświetleń: 5815
  • Sterowanie wyświetlaczami 7seg.

    Mam problem z wyświetleniem na trzech wyświetlaczach 7seg wartości zewnętrznie podanej ze swicha ośmiobitowego. Najdziwniejsze jest to że wszystkie wyświetlacze wskazują dobrą wartość dla pierwszych siedmiu bitów(127 max), gdy podaje na ostatni bit zero(anodowe wyświetlacze) to wszystkie wyświetlacze są zerowane, próbowałem podawać sygnał na inny port...

    Programowalne układy logiczne   17 Sty 2012 19:10 Odpowiedzi: 4    Wyświetleń: 1689
  • [ActiveHDL] - Projekt realizujący sterowanie sygnalizacją świetlną

    a nie prościej to napisać z palca w Verilogu/VHDLu? Nie wiem iloma sygnalizatorami masz sterować, ale chyba szybciej to wyjdzie napisać ręcznie...

    Programowalne układy logiczne   28 Lut 2013 22:02 Odpowiedzi: 8    Wyświetleń: 4326
  • sterowanie silnikiem krokowym w VHDL-u

    przykro mi ale ukłąd nie będzie działał za chiny !! przede wszystkim wszystkie układy fpga mają zegar i muszą działać na clk .. zegar podawany jest z zewnątrz za pomocą kawrca na przykład.. no i opóźnienie musi być odliczane na tym zegarze. Nie można sobie odliczać opóźnienia na podstawie wartości bo niby skąd układ ma wiedzieć jak to odliczyć (trzeba...

    Mikrokontrolery   24 Sty 2005 14:39 Odpowiedzi: 4    Wyświetleń: 2892