REKLAMA

wyzwalanie impulsem

Znaleziono około 2181 wyników dla: wyzwalanie impulsem
  • Multiwibrator wyzwalany krotkim impulsem

    Lock out to chyba zatrzaskiwac (zamykac) czyli według mnie bedzie to multiwibrator wyzwalany jednym impulsem o okreslonym czasie trwania i amplitudzie który zostanie potem zablokowany .............. ale nie jestem pewien.............a schemat z strony 7 jest wyzwalany przejscie do zera.

    Projektowanie Układów   25 Maj 2004 23:45 Odpowiedzi: 6    Wyświetleń: 1989
  • REKLAMA
  • Poszukuje moduł powiadamiania gsm wyzwalany krótkim impulsem +/-

    Witam, Czy istnieje jakiś prosty moduł GSM, który po otrzymaniu impulsu + lub - (najlepiej 12v) zadzwoni lub wyśle sms-a na wcześniej zdefiniowany numer? Znalazłem moduły mgsm 2.0 i inne, ale do niego trzeba podłączyć jakaś starą nokie przez którą moduł będzie dzwonić + mnóstwo (jak dla mnie) zbędnych sygnałów. Są też jakieś satel-owskie cuda za kilkaset...

    Początkujący Elektronicy   19 Sty 2016 12:18 Odpowiedzi: 2    Wyświetleń: 900
  • Układ wyzwalania dwóch impulsów

    Zewnętrzny pojedynczy impuls z przekaźnika, natomiast dwa impulsy każdy trwający pomiędzy (około ) 0,4- 0,8 sekundy z interwałem przerwy około 0,5 - 1 sekundy . Ważne, aby układ uruchomił przekaźnik kontaktrony, a nie wiem jaka jest jego bezwładność na reakcje takich szybkich i krótkich impulsów

    Początkujący Elektronicy   31 Paź 2016 16:03 Odpowiedzi: 4    Wyświetleń: 597
  • Szukam schematu do wyzwalania przekaźnika impulsem

    Diody niby niestety nie ma. Myślałem nad transoptorem lecz nie wiem jak dalej to wykonać żeby łapał od impulsu i puszczał tylko wtedy jak nacisnę przycisk. Jednym tranzystorem, dwoma rezystorami i diodą.

    Początkujący Elektronicy   23 Gru 2017 21:27 Odpowiedzi: 14    Wyświetleń: 1242
  • REKLAMA
  • Jak zrobić układ wyzwalający, dający impulsy 3xfo? Powielacz częstotliwości.

    Czyli 3 komparatory, garść oporników i kondesatorów, pływające wyzwalanie S/H, w moim rozwiązaniu jeden mały scalak w SO-8 i dwa, trzy kondesatory i stabilne wyzwalanie w szerokim zakresie częstotliwości. Ale rób jak uważasz.

    Projektowanie Układów   24 Sty 2023 07:13 Odpowiedzi: 24    Wyświetleń: 294
  • Transformator wyzwalający palnik

    Wiem na jakiej zasadzie to działa i z tym problemów nie mam. Chciałem jednak wykorzystać ten rodzaj transformatora. W pająku działa to dobrze i wyzwala bez problemu. Początki i końce uzwojeń są podłączone tak jak w zastosowaniu oryginalnym, poniewaz IFK120 jest wyzwalany dodatnim impulsem. Chodzi mi tylko o to czy wolne końce trzeba obciążać, żeby nie...

    Początkujący Elektronicy   31 Gru 2008 10:16 Odpowiedzi: 3    Wyświetleń: 1326
  • Diamenty wykorzystane do generowania ultrakrótkich impulsów terahercowych

    Pojawienie się intensywnych, ultrakrótkich, spójnych impulsów świetlnych zrewolucjonizowało dziedzinę spektroskopii. Umożliwiają łatwą obserwację różnych nieliniowych efektów optycznych. A także ułatwiają charakteryzację materiałów za pomocą nieliniowej spektroskopii optycznej. Ponadto zapewniają środki do silnego selektywnego wzbudzania materiałów...

    Newsy   10 Lut 2023 10:35 Odpowiedzi: 0    Wyświetleń: 501
  • REKLAMA
  • Generator impulsu na przekaźnikach

    Potrzebuję zrobić generator impulsu o długości równej odległości pomiędzy impulsem wyzwalającym a impulsem kasującym. Impulsy wyzwalający i kasujący są z dwóch oddzielnych linii. Sęk w tym, że ma to być na przekaźnikach. Najlepiej gdyby dało się na dwóch, max. 3. Nic mi nie przychodzi do głowy jak to zrobić. Może ma ktoś pomysł na taki układzik?

    Projektowanie Układów   18 Paź 2008 09:14 Odpowiedzi: 18    Wyświetleń: 5352
  • ne555 i podtrzymanie impulsu.

    Witam. Zbudowalem uklad jak na zalaczonym schemacie. Jednak nie takiego efektu oczekiwalem. W tej chwili uklad dziala tak, ze po podaniu zasilania odrazu na wyjsciu (3) kostki pojawia sie impuls 30 sekundowy. Wcisniecie przycisku wyzwala kolejne impulsy. I tu moje pytanie brzmi: czy da sie jakos zrobic aby impulsy byly wyzwalane tylko przyciskiem tak...

    Początkujący Elektronicy   19 Lis 2008 17:55 Odpowiedzi: 6    Wyświetleń: 1763
  • Budowa układu do zapisywania bajtu na pamięci SRAM z użyciem rejestru i sygnału wyzwalającego

    Posiadam pamięć SRAM i chciałbym zbudować taki układ żeby go wykorzystaćc rejestr bajtu. Zatem chciałbym naraz wysłać bajt do zapisania oraz sygnał wyzwalający zapis. Wiem jednak, że WE musi być wprowadzony (stan niski) dopiero pewien czas po wprowadzeniu adresu i danych do zapisania, a skończyć się musi (przejść na stan wysoki) przzed końcem podawania...

    Mikrokontrolery Początkujący   28 Mar 2022 07:15 Odpowiedzi: 3    Wyświetleń: 456
  • Wzmacniacz impulsów wysokiego napięcia projekt (impulsy zarówno + jak i -)

    Wysokie napięcie ma wyzwalać kolejny impuls do wzmacniacz który będzie generował impuls rzędu kV. Głównym zadaniem takiego impulsu byłoby załączanie tego kolejnego wzmacniacza w odpowiednim momencie. Generalnie mój zamysł to sterownik który podaje napięcie na bramkę mosfetów i dwa mosfety 100-300V. Jest napięcie na bramce i mosfet-y się załączają na...

    Projektowanie Układów   06 Gru 2022 08:39 Odpowiedzi: 29    Wyświetleń: 558
  • REKLAMA
  • Przekaźnik i dwa impulsy w odstępie ok 1 sek

    Nie bardzo zrozumiałem co napisałeś. Piszesz że podajesz napięcie na układ przez ok. 30 sek. i ma on wyzwalać dwa impulsy co zajmie mu około sekundy. To znaczy że od razu po załączeniu ma on od razu to robić czy po jakimś przypadkowym czasie generować te impulsy. I napisałeś że ma to robić aż to momentu zaniku napięcia, czyli przez cały czas? Jedno...

    Początkujący Naprawy   30 Mar 2011 02:17 Odpowiedzi: 5    Wyświetleń: 1940
  • Wykrywanie 230V na stm32 - uzyskanie stałego impulsu

    Albo wyzwalać tymi impulsami np. resetowanie timera. Wystąpił overflow = zanik impulsów na wejściu.

    Mikrokontrolery   01 Paź 2019 19:22 Odpowiedzi: 5    Wyświetleń: 639
  • Oscyloskop DT6620 problem z wyzwalaniem.

    Wygląda na to, że sygnał wyzwalający zamiast uruchamiać generator podstawy czasu w momencie zapalenia diody, blokuje go. Musiałbyś innym oscyl. sprawdzić jak wygląda przebieg podstawy czasu bez wyzwalania (wolnobieżny) i jak wygląda po pojawieniu się impulsu wyzwalajacego. Jeśli masz schemat, to zlokalizuj element podający impuls na generator i element...

    Warsztat elektronika   05 Gru 2009 11:18 Odpowiedzi: 8    Wyświetleń: 2584
  • Nietypowa bramka AND. Sygnał na wyjściu powinien być impulsem.

    Opisałeś działanie przerzutnika typu D. Sygnał B przepisuje na wyjście Q stan A. Żeby na wyjsciu był impuls, potrzebny jest dodatkowo jakiś monoflop wyzwalany dodatnim zboczem. pozdr.

    Projektowanie Układów   17 Lut 2007 23:27 Odpowiedzi: 12    Wyświetleń: 2675
  • Układ czasowy z regulacją opuźnienia i czasu trwania impulsu

    Witam Poszukuje gotowego rozwiązania układu czasowego (najlepiej na szynę DIN) który będzie działał następująco: 1. Podaje sygnał sterujący przekaźnik zaczyna odliczać nastawioną(regulacja bardzo dokładna) wartość czasu 2. Styki zostają załączone na ustalony czas (regulowany) 3. Przekaźnik wchodzi w stan oczekiwania na następny impuls sterujący Zaznaczam...

    Automatyka Przemysłowa   13 Maj 2012 21:46 Odpowiedzi: 3    Wyświetleń: 1620
  • [Bascom] - Attiny13 - Przerwanie Timer'a - krótki impuls

    Piszę program, do czasowego wyzwalania migawki: Na pokładzie mam attiny13, tact-switcha, oraz diodę led i transoptor. Układ ma wysyłać impuls ok 300ms co określony czas. Czas ustawia się poprzez wciskanie przycisku - jedno wciśnięcie = 0.5s więcej. Dłuższe przyciśnięcie (pow. 3s) powoduje ustawienie minimalnego czasu(0.5s). Problem jest taki, że im...

    Mikrokontrolery   29 Kwi 2013 14:50 Odpowiedzi: 5    Wyświetleń: 1914
  • błędna obsługa przerwania INT1 - ustalenia szerokości impuls

    Witam. Tworzę mały projekcik i natrafiłem na pewną zagwózdkę. Na początku wprowadzenie co układ ma robić i jak działać. Układ składa się z części analogowej, tzn. dwóch detektorów tonu (LM567) odpowiedzialnych za detekcję "bitów" łącza fizycznego. Chodzi o to, że rozpoznają "swoją" częstotliwość i gdy stwierdzą zgodność z obwodem czasowym, na swoim...

    Mikrokontrolery AVR   01 Sie 2009 13:35 Odpowiedzi: 1    Wyświetleń: 1871
  • Jak rozpoznać długość impulsu?

    Szkoda że na '51, bo na AVR było by łatwiej. Można to zrobić tak: Procki '51 mają wejścia zewnętrznego przerwania wyzwalanego stanem niskim, lub zboczem opadającym. Ciebie interesuje to drugie (bo zboczemn narastającym się nie da jak w AVR). Nie wiem jak wygląda konfiguracja przerwań w BASCOMie, zobacz w dokumentacji, ale podejrzewam że coś w rodzaju:...

    Mikrokontrolery   21 Paź 2006 19:21 Odpowiedzi: 5    Wyświetleń: 1719
  • Układ z multiwibratorem wyzwalanym poziomem.

    Pilnie potrzebuję zbudować pewien układ. Na jego wejście podawana jest seria impulsów (śmieci), potem pojawia się poziom wysoki.. potem znowu śmieci i poziom niski. Na wyjściu pojawia się: a) stan wysoki przy stanie wysokim na wejściu. b) stan niski przy stanie niskim na wejściu. Cała zabawa rozbija się o wyeliminowanie śmieci (można to wyobrazić sobie...

    Projektowanie Układów   10 Maj 2008 17:43 Odpowiedzi: 1    Wyświetleń: 957
  • Atmega16 czas trwania impulsu.

    Racja pubus Tak też zrobiłem. Zamieszcze kod, może komuś się przyda: #include <avr/io.h> #include <avr/pgmspace.h> #include <stdlib.h> #include <stdio.h> #include <stdbool.h> #include <math.h> #include <string.h> #include <avr/interrupt.h> //ATMega16 Q=4MHz #define tau0 87;//stała czasowa timera0, dla...

    Mikrokontrolery   23 Lis 2006 21:03 Odpowiedzi: 5    Wyświetleń: 5671
  • jak otrzymać dwa impulsy dostarczając jeden

    Jest taki układ scalony 74123 (dostępny również w wersjach, które żrą mniej prądu: LS, HCT, CMOS - ten ostatni ma inną nazwę, chyba CD4528, ponoć CD4047 też się nadaje - trzeba sprawdzić w katalogu), zawiera dwa generatory impulsu. Do takiego generatora podłączasz opornik i kondensator - od nich będzie zależeć czas (w katalogu masz wzór, informację...

    Projektowanie Układów   11 Wrz 2009 22:08 Odpowiedzi: 6    Wyświetleń: 2769
  • Czy NE555 może przetwarzać częstotliwość na impulsy??

    Wszystko zależy od dokładnie sprecyzowanych wymagań jakie chcemy uzyskać od naszego układu. A więc ile tych impulsów wyjściowych ma być w stosunku do impulsów wejściowych, kształt i amplituda impulsów, przesunięcie czoła lub opadającego zbocza impulsów w stosunku do imp. wejściowych, inne wymagania np. pakiet drgań gasnących. Z załączonego wykresu niewiele...

    Początkujący Naprawy   01 Kwi 2011 20:00 Odpowiedzi: 6    Wyświetleń: 3682
  • Szukam przekaźnika 5V z wyzwalaniem 30 sekund, pomimo dłuższego sygnału wyzwalającego

    Generalnie potrzebujesz napięcia 12V zasilającego przekaźnik, jeżeli nie ma go dostępnego w układzie to zastosuj przetwornicę. Impuls wyzwalający taki przekaźnik czasowy może być również impulsem ze zboczem opadającym, to zależy od jego konfiguracji.

    Początkujący Elektronicy   14 Paź 2020 13:20 Odpowiedzi: 4    Wyświetleń: 243
  • Generator pojedynczego impulsu

    Witam Mam sygnał z czujki foto odbiciowej o zdarzeniu. Sygnał jest o różnym czasie trwania. Układ, dla którego jest przeznaczony to licznik. Zdarzenie ma zerować licznik i tak się dzieje, ale na czas trwania impulsu zerowania zablokowany jest licznik i nie zlicza . Próbowałem zbudować generator jednego impulsu na 74ls00 i 74ls04 wyzwalany zboczem opadającym...

    Projektowanie Układów   05 Lut 2005 10:01 Odpowiedzi: 2    Wyświetleń: 3460
  • Układ czasowy. Jak to zrobić, żeby był tylko jeden impuls?

    Witam. Na jednym '541 tego się nie zrealizuje. Wynika to z wyzwalania stanem na wejściu reset. Stan niski musi być dłużej od wnoszonego opóźnienia. Realizację algorytmu widzę w ten sposób: 4047 -> R-S -> '541 -> 4047 Pierwszy '47 zapewnia wyzwolenie R-S impulsem niezależnym od długości impulsu sterującego. R-S wyzwala opóźnienie, a właściwym generatorem...

    Początkujący Elektronicy   18 Sty 2008 20:03 Odpowiedzi: 5    Wyświetleń: 2667
  • Generator impulsu o wysokiej energii

    Witam, Pracuję nad wykonaniem urządzenia, które powinno generować impuls elektryczny o dużej energii, jednocześnie powinno być małe, lekkie, bezpieczne i poręczne. Próbowałem wykonać je małym nakładem pracy, wykorzystując gotowy układ z lampy błyskowej jednorazowego aparatu foto, jednak jak się okazało, obecne rozwiązanie zdecydowanie nie przejdzie....

    Projektowanie Układów   30 Lis 2009 21:56 Odpowiedzi: 2    Wyświetleń: 5287
  • Starter do układu impuls po czasie lub generator prost.gasn.

    Myślę, że wystarczy dowolny przerzutnik monostabilny. Włączasz zasilanie, i automatycznie podajesz impuls wyzwalający. Przerzutnik wystawia stan wysoki na czas ustalony przez obwód RC. Wystarczy ten impuls zanegować i będziesz miał przez 1s stan niski, a po upływie 1s stan wysoki załączający jakieś urządzenie. Zainteresuj się np. 74121, ten układ od...

    Początkujący Naprawy   26 Sty 2011 07:33 Odpowiedzi: 2    Wyświetleń: 1726
  • Zegar swietlny. Generator impulsow wyzwalany swiatlem.

    Poszukuje schematu a scislej osoby, ktora by mi taki uklad zaprojektowala, bo jest nietypowy. Zegar swietlny. Oto krotki opis dzialania. Urzadzenie ma mierzyc czas wykorzystujac stalosc predkosci swiatla. Mamy diode swiecaca, ktora zasilamy krotkim pikiem pradu. Potrzebny zatem generator pojedynczych impulsow, wyzwalany. Swiatlo z niej wyemitowane przelatuje...

    Projektowanie Układów   02 Sie 2014 01:29 Odpowiedzi: 0    Wyświetleń: 1023
  • Wyzwalanie przyciskiem generatora astablinego na NE555

    Mam pytanko do powyższego schematu. Mam tutaj generator przebiegów prostokątnych oparty o NE555. Służy on do podawania impulsów do licznika na bazie układu 7490. Chciałbym, aby podawanie impulsów rozpoczęło się po wciśnięciu przycisku. Na razie mam to rozwiązane przez wpięcie przycisku między wyjście Q NE555, a wejście licznikowe układu 7490. Układ...

    Początkujący Elektronicy   13 Lis 2018 08:33 Odpowiedzi: 6    Wyświetleń: 702
  • "fantomowe" sygnały wyzwalające przerwanie.

    Witam Jak w temacie mam problem z fałszywym sygnałem wyzwalającym przerwanie. Moje urządzonko przedstawia się następująco: Sercem jest AT89CX051, do którego podłączone dwa przekaźniki oraz 3 pcf'y 8574 - jeden odbiera sygnały z klawiatury(6 przycisków), pozostałe dwa sterują dynamicznie cyfrowym wyświetlaczem LED. Do tego na krańcówkę wyprowadzone jest...

    Mikrokontrolery   02 Lis 2004 22:21 Odpowiedzi: 10    Wyświetleń: 1296
  • Wykrywacz zaniku impulsów

    Dzięki LuckyDj dobry pomysł ale w licznikach które przeglądałem reset jest wyzwalany stanem 1 lub 0 w związku z tym istnieje możliwość zablokowania licznika na stałe jeżeli będzie podawany sygnał reset. Ronin64 zagłębiłem się bardziej w ne555 i można wykorzystać go jako generator monostabilny jest on wyzwalany zboczem opadającym na TR. Po prostu będzie...

    Początkujący Naprawy   24 Wrz 2010 23:41 Odpowiedzi: 6    Wyświetleń: 1886
  • 27C210 - Na czym zrobić generator impulsu programującego?

    A ja pisałem: przerzutnik monostabilny, albo procesor (do jednego impulsu to raczej przerzutnik, ale jeśli masz generować komplet sygnałów, to przerzutników byłoby sporo, a procesor wystarczy jeden). Jeśli chodzi o przerzutnik, to są takie układy, jak NE555, 74LS(albo HCT)121/122/123/221/423, CD4047, CD4098, CD4528, CD4538... Z tego NE555 na pewno jest...

    Projektowanie Układów   10 Lut 2015 19:01 Odpowiedzi: 13    Wyświetleń: 1650
  • [STM32] - Wyzwalanie zewnętrznego DAC

    Do pasma EKG układ w zupełności wystarczy. W kwestii punktu 4, chodziło mi o taką konfigurację licznika: - licznik zlicza w jednym kierunku, np. w górę. - Czas pełnego okresu (PSC i ARR) to trochę więcej niż czas transmisji + impuls LDAC (=210ns). - Do jednego z rejestrów porównujących ładujesz wartość, która odpowiada impulsowi LDAC, a wyjście konfigurujesz...

    Mikrokontrolery ARM   15 Sie 2016 11:06 Odpowiedzi: 6    Wyświetleń: 1251
  • OSCYLOSKOP - co to jest wyzwalanie

    i własciwie to rozumiem zasadę działaania.... Więc wiesz że jest coś takiego jak generator podstawy czasu . I właśnie do tego generatora potrzebne jest wyzwalanie . Może on być wyzwalany przebiegiem badanym , jest to wyzwalanie wewnętrzne a sygnał wyzwalający pobierany jest z toru wzmacniacza Y . Lub dowolnym innym sygnałem doprowadzonym z zewnątrz...

    Początkujący Elektronicy   27 Sie 2017 15:47 Odpowiedzi: 3    Wyświetleń: 7787
  • [atmega8][C]Pomiar długości trwania impulsu / stanu niskiego

    Witam Poniższy program mierzy długość trwania impulsu / stanu niskiego podanego na INT1. Pomiar jest wyzwalany przerwaniem, zboczem opadającym, następnie następuje włączenie timera i przestawienie przerwania na zbocze narastające. Gdy ono nastąpi jest wyzwalane kolejne przerwanie, które zeruje zatrzymuje timer. Odczyt timera (rejestr TCNT1) następuje...

    Mikrokontrolery AVR   10 Sie 2008 19:58 Odpowiedzi: 3    Wyświetleń: 6784
  • Oscyloskop KABID DT-525A brak poprawnego działania poziomu wyzwalania

    Powinieneś na początek rozmagnesować ekran lampy. Kolejne miejsce uszkodzenia to układ regulacji polaryzacji cewek lampy. Na lampie jest zwykle jedna a czasami dwie cewki, które wytwarzają regulowane pole magnetyczne. Regulacja odbywa się za pomocą potencjometrów. Nic nie stoi na przeszkodzie byś użył oscyloskopu do naprawy... oscyloskopu. W przypadku...

    Warsztat elektronika   25 Kwi 2017 08:12 Odpowiedzi: 7    Wyświetleń: 1194
  • Synchronizacja przebiegu sinusoidalnego 50Hz z impulsem unipolarnym 2-5kV

    Mógłbyś podłączyć po prostu ściemniacz oświetlenia z triakiem, tyle że impulsy miał byś co pół okresu, z opóźnieniem regulowanym potencjometrem. Widziałem w sieci schematy generatorów wysokiego napięcia z tyrystorem jak ten poniżej. Jakby dać dużo mniejszy C1, to mógłby się wyzwalać co okres. Tyrystor od chwili wyzwolenia przewodzi do zaniku prądu,...

    Początkujący Elektronicy   09 Lut 2024 00:03 Odpowiedzi: 13    Wyświetleń: 240
  • Sterowanie wyzwalaniem stroboskopu

    zrobiłem układ wyzwalania tzn. tak jak w kicie jabla, ze wszystkimi częściami, tylko bez palnika, przewód wyzwalający podłączam do dużego palnika, który jest zasilany z innego układu sieć ---------układ zasilający--(przewody z 600V)------PALNIK --------------- jabel-------(przewód wyzwalający)------------ i stroboskop mruga raz jaśniej, raz ciemniej,...

    Optoelektronika   18 Mar 2009 20:00 Odpowiedzi: 10    Wyświetleń: 2866
  • Canon 380EX ładuje, nie wyzwala błysku

    witam, Lampa jak w tytule. Reaguje po podłączeniu do aparatu na zmianę ogniskowej obiektywu. Ładuje kondensator do 250V, niestety nie wyzwala błysku przyciskiem (ani z "pozycji" aparatu). Po przelutowaniu okolic żarnika/palnika, transformatorka WN, złącz, kilka razy wyzwoliła błysk "z przycisku TEST", ale dalej znów brak reakcji. Niestety nie znalazłem...

    Aparaty Fotograficzne Serwis   19 Lip 2010 20:54 Odpowiedzi: 0    Wyświetleń: 973
  • Układ generujacy impulsy na '51

    Po namyśle dochodzę do wniosku, że trochę trzeba zmienić koncepcję, a i tak nie wszystko się załatwi. Pomysł jest taki: 1) zegar i wszystkie sprawy jego bez zmian i cykl maszyny 1 us. 2) ponieważ impulsy mają być nie częściej niż co 1 ms to czasu trochę jest 3) do odmierzania okresu między impulsami użyj timera2 ustawionego jako licznik w trybie auto-reload...

    Mikrokontrolery   23 Gru 2004 12:15 Odpowiedzi: 5    Wyświetleń: 1296
  • Tyrystor 1200A w impulsie 10ms do zgrzewarki

    Poszukuję tyrystora do wyzwalania ładunku z baterii kondensatorów (660000µF). Napięcie max 25V. Ma to pracować w niewielkiej zgrzewarce kondensatorowej. Szukałem w sklepach internetowych i jedyne parametry jakie oni najczęściej podają to max prąd pracy i napięcie. Ciężko też znaleźć dane katalogowe. Może jakaś baza danych z parametrami tyrystorów....

    Projektowanie Układów   08 Gru 2005 11:47 Odpowiedzi: 4    Wyświetleń: 1353
  • Jakie są sposoby wyzwalania w oscyloskopach? Przełącznik AC i DC.

    Sposobów wyzwalania nie ma... są jedynie metody synchronizacji podstawy czasu. I tu wyróżniamy: - podstawę czasu synchronizowaną stosowaną do przebiegów okresowych) - podstawę czasu wyzwalaną, stosowaną do przebiegów impulsowych i wszelkiego rodzaju impulsów, chociaż czasami też stosuje się do przebiegów okresowych. Przełącznik AC/DC służy do przełączania...

    Początkujący Elektronicy   29 Mar 2006 18:56 Odpowiedzi: 1    Wyświetleń: 920
  • stała 1 na wejściu = impuls 1 na wyjsciu

    Może źle zrozumiałem, ale nie widzę problemu... Proponuję rozwiązanie układowe: negacja impulsu wejściowego + 555. Działanie: - podanie 1 daje na wyjściu negacji (może być jakakolwiek aplikacja, nawet układ ze zwykłym pojedynczym tranzystorem) sygnał 0 - ten sygnał przez kondensator podłączony do wejścia 2 układu 555 (wejście to dodatkowo podłączone...

    Projektowanie Układów   04 Sie 2006 15:00 Odpowiedzi: 12    Wyświetleń: 5036
  • wyzwalanie lampy blyskowej

    Stare lampy używają dość dużego napięcia (rzędu 70V), przy którym układ sterujący nie powinien przepuszczać więcej, niż parę uA (czyli musi mieć izolację o oporności kilkudziesięciu megaomów) przed wyzwoleniem, i sporego prądu do wyzwalania (rzędu setek mA); jeśli nie ma dobrej izolacji, to nie naładuje się kondensator w układzie wyzwalania, a jak ogranicza...

    Inne Serwis   25 Sty 2007 19:42 Odpowiedzi: 6    Wyświetleń: 1933
  • Impuls z mikrofali po określonym czasie naruszenia strefy ??

    Witam. Centralka Ampio mini + czujnik mikrofalowy podłączony pod prealarm. W jaki sposób rozwiązać ten problem: W jaki sposób wykombinować :D aby mikrofala podłączona pod prealarm nie wyzwalała prealarmu w momencie kiedy ktoś normalnym krokiem przejedzie przez chronioną strefę ? Zależy mi na tym aby prealarm był wyzwalany w momencie kiedy ktoś przystanie...

    Samochody Zabezpieczenia   11 Mar 2007 21:36 Odpowiedzi: 1    Wyświetleń: 753
  • Powielacz impulsów - HOWTO?

    Zaprojektować układ powielacza impulsów: na każdy impuls wejściowy powinien on odpowiadać generacją N impulsów na wyjściu opadającym zboczu podawanego sygnału - czyli chyba jest mi jeszcze potrzebny jakiś układ synchronizacyjny? ew. czy powinienem zarzucić pomysł z wykorzystaniem borrow'a?). Poza tym - mam całkiem-prawie-niemałe trudności z przejściem...

    Początkujący Elektronicy   16 Mar 2007 11:30 Odpowiedzi: 3    Wyświetleń: 2899
  • modul ograniczajacy dlugosc impulsu

    74[HC]123 ma dwa monowibratory, i o ile pamiętam, mają one wejście reset - można, jak nie ma sygnału, który ma wyzwolić impuls, podawać ten reset na jeden monowibrator, a jak jest sygnał, to jednym generować bardzo krótki impuls, którego koniec wyzwoli drugi monowibrator, i on da impuls o odpowiednim czasie - albo krótszy, jeśli impuls wejściowy skończy...

    Projektowanie Układów   24 Lis 2008 09:11 Odpowiedzi: 7    Wyświetleń: 1475
  • Satel Abax - czujki nie wyzwalają alarmu

    Witam ok 2 lat temu zamontowałem alarm Integra 32 i system abax z 7 czujnikami radiowymi PIR oraz 7 czujników radiowych magnetycznych. Po ostatnim teście e stwierdziłem że 4 czujniki radiowe Pir i 1 radiowy magnetyczny nie wyzwala alarmu. Brak zgłoszenia awarii w systemie, sygnał radiowy ok 60 do 70 %, jak ściągnę obudowę to wyzwala się sabotaż w systemie,...

    Zabezpieczenia Stacjonarne   23 Kwi 2009 20:28 Odpowiedzi: 4    Wyświetleń: 3199
  • satel integra, impuls opóźniony względem naruszenia wejścia

    dziękuję za informację, jak przetrawię to na przykładzie być może jeszcze będe się dopytywał dalej... tak, chodzi o "nie czuwanie" centrali generalnie sytuacja wyglada tak: - naruszenie czujki - tu muszę odliczyć czas np 5 min - 2 sekundowy "klik" przekaźnikiem (nie muszę wiedzieć czy jest zapalone czy nie, bo chcę wykonać reset (bistabilne z centralnym...

    Zabezpieczenia Stacjonarne   21 Lip 2009 20:52 Odpowiedzi: 5    Wyświetleń: 2979
  • NE555 długi impuls - jak zmodyfikować układ

    Witam, Zbudowałem układ dokładnie taki jak tutaj: Jednak efekt nie jest zgodny z moimi oczekiwaniami. Otóż na pin2 podane zostaje napięcie, które trwa 30 sekund i miało być zamienione na impuls trwający ok 2 sekundy. Problem w tym, że wszystko działa prawidłowo tylko wtedy, gdy napięcie to dosłownie dotknięcie pin2, wtedy wyjściowy impuls rzeczywiście...

    Początkujący Naprawy   23 Wrz 2010 22:40 Odpowiedzi: 13    Wyświetleń: 6720
  • Wyzwalanie wył. różnicowoprądowego

    Śmieci nie skasujesz, bo mogą być to śmieci prądowe, a takie są nie do ugryzienia. Po pierwsze, w jakim celu jest RCD na falownik ? W jakim zakresie uzupełnia ochronę ? Jeżeli jest to ochrona przed dotykiem pośrednim, to RCD jest zbędny, bo załatwia to S-ka. I to niezawodnie. Do tego typu ochrony powinien być RCD 300mA, a nie 30 mA. Uzupełnienie ochrony...

    Elektro Maszyny i Urządzenia   31 Paź 2009 19:25 Odpowiedzi: 15    Wyświetleń: 2283
  • Przerwanie wyzwalane zboczem opadajacym 2313

    Napisałem programik którego zadaniem ma być zliczanie impulsów, wydawało by się trywialne. gdy przeprowadzam symulacje działania programu wszystko dziala OK. Po podłączeniu wyświetlacza i klawisza juz nie jest tak różowo. wyświetlacz wyświetla zero i po wciśnięciu przycisku (zbocze opadające) wartość nie ulega zmianie(prawie ale o tym na końcu). co...

    Mikrokontrolery AVR   14 Cze 2010 20:04 Odpowiedzi: 3    Wyświetleń: 1244
  • Proszę o sprawdzenie schematu "Wyzwalanie dzwonka"

    Witam. Moja wiedza z elektroniki jest co najwyżej nieduża dlatego wszystkich doświadczonych proszę o pomoc. Zaprojektowałem niby prosty układ który mam zamiar zastosować w zegarze jako informacja pełnej godziny. Wejście układu SV1-1 i SV1-2 to sprawdzenie warunku czy ma zostać uruchomiony generator, jeżeli pojawią się dwa razy "0" ( a tak się dzieje...

    Początkujący Naprawy   20 Lut 2011 20:32 Odpowiedzi: 0    Wyświetleń: 1264
  • [XMEGA][c] DMA wyzwalane timerem i odczyt portu.

    A może wyzwalanie DMA timerem jest po to, aby zapisywać właśnie do timera? jak ktoś będzie generować przebieg o zmiennym okresie, to może mieć w buforze kolejkę kolejnych wartości TOP (nie wiem dokładnie jak działają timery w xmega, nie programowałem ich nigdy) uaktualnianych po każdym przebiegu. Może ktoś będzie generować przebieg o zmiennym wypełnieniu,...

    Mikrokontrolery AVR   07 Sie 2011 18:47 Odpowiedzi: 10    Wyświetleń: 2166
  • Jak przesunąć impuls elektryczny?

    A jak zrobić tak, aby bardzo krótki sygnał, albo raczej przerwa w sygnale wyzwalała dłuższy sygnał? Mam przełącznik dźwigniowy, podczas przełączania następuje między dwoma stykami znikomej długości przerwa w przewodzeniu - jak to zrobić by ta przerwa wyzwalała ten stan wysoki, np stan niski 0,01s = wysoki 1s ?? To chyba da się zrobić na 2 tranzystorach,...

    Początkujący Elektronicy   23 Paź 2011 19:04 Odpowiedzi: 17    Wyświetleń: 2778
  • Pastuch EBS-872/M - Brak wyzwalania iskry na wyjściu

    Witam. Jak w temacie problem jest z wyzwalaniem impulsu na wyjściu- brak iskry. Ciekawym objawem jest to iż przy pomiarze napięć np. na kondensatorze C4 -33n układ zaczyna nagle wyzwalać i pojawia się iskra. Dotykając nawet jednej nóżki tego kondensatorka tez następuje wyzwolenie , i tak jest tez z innymi elementami na płytce. Napięcie na C4 jest ok...

    Inne Serwis   04 Maj 2022 07:21 Odpowiedzi: 10    Wyświetleń: 10701
  • Program zliczający impulsy enkodera

    Niespecjalnie, chyba, że to będzie przerwanie wyzwalane zboczem, a nie poziomem. Niemniej gorąco cię zniechęcam do robienia obsługi enkodera na przerwaniach wyzwalanych zboczami z pinów IO. Lepszym pomysłem jest przerwanie timera co określony czas i w nim badanie stanu pinów IO. Niemniej gotowca znajdziesz w darmowych przykładach do mojej książki (do...

    Mikrokontrolery AVR   27 Gru 2012 23:08 Odpowiedzi: 20    Wyświetleń: 4275
  • Opóźniony pojedyńczy impuls prostokątny + samoczynne wyłączenie zasilania

    Witam, Potrzebuję pomocy z układem czasowym. Przeszukałem sporą część net'a i nie znalazłem tego co mnie interesuje. Zaznaczę na początku, że najbardziej zależy mi na pewnośći działania. Układ musi być zasilany napięciem z zakresu 5-16V. Najlepiej beż żadnych stabilizatorów, co najwyżej jakiś filtr na zasilaniu. Warunki są następujące: układ powinien...

    Początkujący Elektronicy   19 Mar 2013 23:31 Odpowiedzi: 8    Wyświetleń: 2499
  • Programowalny licznik impulsów

    Banalnie proste rozwiązanie tego problemu uzyskasz stosując programowalny dzielnik w technologii CMOS CD4059A. Za układ zapłacisz złotówkę. Ilość impulsów zadajesz w BCD na końcówki układu. Możesz to zrobić przełącznikami DIP-switch, albo elegancko zadajnikami - wygodnie i z możliwością odczytu ustawionej wartości, ale za zadajniki zapłacisz wielokrotnie...

    Początkujący Elektronicy   19 Kwi 2016 21:34 Odpowiedzi: 18    Wyświetleń: 2079
  • Timer 555 - wyzwalanie po zwolnieniu przycisku.

    Standardowo na przerzutniku JK, albo na D wyzwalanym zboczem (albo na liczniku; są też inne rozwiązania, nawet na inwerterze z przerzutnikiem Schmitta, czy na NE555) - tylko trzeba zadbać o to, by włącznik nie dawał wielokrotnych impulsów na skutek odbijania styków. Rozwiązanie na NE555: piny 2 i 6 do dzielnika między +zasilania i masą, dającego 1/2...

    Początkujący Elektronicy   01 Gru 2017 00:06 Odpowiedzi: 67    Wyświetleń: 5082
  • Wyzwalanie zasilania - Zasilanie do lodówki

    Brzęczyk, formowanie impulsu > układ CD4541, ustawiony na 60 min > przekaźnik > lodówka. Schemat m. więcej tak wygląda: [url=]Link tam gdzie przycisk start, układ formowania impulsu ale jak będzie wyglądał trzeba wiedzieć jak sterowany jest ten brzęczyk

    Początkujący Elektronicy   13 Wrz 2017 18:15 Odpowiedzi: 1    Wyświetleń: 465
  • Wyzwalanie bezpiecznika nadprądowego przy podłączeniu lampy Osram HPS 150 Watt.

    Przede wszystkim wyrzuć ten przewód biegnący od układu stabilizująco-zapłonowego do lampy. Zastąp go przewodami jednożyłowymi (LgY) z nałożonymi nań dodatkowymi koszulkami izolacyjnymi. Tam jest przy zapłonie lampy amplituda impulsów 5kV! Przyczyną uszkodzenia może być nadpalenie izolacji wspomnianego przewodu impulsami WN.

    Elektryka Dla Każdego   06 Wrz 2019 13:29 Odpowiedzi: 24    Wyświetleń: 1050
  • Poszukiwanie układu generującego dodatni impuls po przełączeniu do masy

    Wymyśliłem coś takiego Z tym układem są problemy: 1. Zrobisz połączenie wejścia z masą, MOSFET się włączy, naładuje górny kondensator; rozłączysz, połączysz ponownie - kondensator będzie już naładowany, impulsu nie będzie. 2. Rozłączasz, opornik podaje '+' na bramkę - ale tam jest obciążenie, na oporniku 4,7kΩ dostaniesz mniej, niż 1/3 napięcia...

    Projektowanie i Tworzenie Po godzinach   29 Maj 2022 10:11 Odpowiedzi: 52    Wyświetleń: 2088
  • 2 stroba i wyzwalanie na zmianę

    np z dwoch gałęzi multiwibratora masz impulsy odwrocone w fazie o 180* nimi wysterujesz układy wyzwalania palników. albo z ukladu TTL pierwszy bezposrednio a drugi przez negator

    Optoelektronika   09 Sty 2006 10:48 Odpowiedzi: 22    Wyświetleń: 2433
  • Licznik impulsów

    Jeśli to krańcówka mechaniczna to zapewne za zliczanie nadmiarowe odpowiedzialne są drgania styku. Nie jestem elektronikiem , ale w takich wypadkach ja stosuję np. fabryczny zegar czasowy z wyjściem tranzystorowym ustawiony na wyzwolenie impulsowe. Pojedyńczy impuls z krańcówki wyzwala impuls zegarowy ustawiony na określony czas. W tym czasie impulsy...

    Elektro Maszyny i Urządzenia   27 Wrz 2004 06:39 Odpowiedzi: 5    Wyświetleń: 3546
  • Wygenerowanie impulsu

    Jeżeli potrzebujesz generatora monostabilnego to masz tu przykład (generuje impuls 5s, wyzwalany niskim stanem na 2):

    Schematu/instrukcji/artykułu   29 Paź 2004 19:15 Odpowiedzi: 1    Wyświetleń: 807
  • Impulsy 70V 0.5ms

    Witam, szukam schematu lub chociaż idei układu który generował by impulsy ok 70V 0.5ms, z przerwami 20ms, z tym że impulsy podawane były by na dość małą rezystancje i spodziewam się prądów rzędu 15-20A. Stabilność podanych czasów nie musi być dokładna wiec myśle że wystarczyłby nawet generator na NE555. Bardziej jednak niepokoji mnie magazynowanie i...

    Warsztat elektronika   30 Paź 2004 18:44 Odpowiedzi: 1    Wyświetleń: 713
  • Układ 74hc74, wyzwalanie z lm 311.

    Witam mam maly problem z ukladem 74hc74 (2 przezutniki D) i tak podlaczylem to tak nozke 2,4 do zasilania, nozke 1 jako reset( normalnie jest na 1) a na 3 maja trafiac impulsy. Uklad ten mial byc uzywany do wyzwalania i sadzielm ze na wyjsciu Q pojawi sie jedynka wtedy jak na 3 zmienie sie stan z 0 na 1 i tylko w tedy ale niestety to samo sie dzieje...

    Inne Serwis   28 Gru 2004 18:16 Odpowiedzi: 12    Wyświetleń: 1994
  • Przekażnik sterowany impulsami...

    Obawiam się, że 555 nie da takiego sygnału na wyjściu, jaki jest potrzebny: 555 w układzie przerzutnika monostabilnego trzyma kondensator rozładowany kiedy nie ma impulsu wyzwalającego, i przez ten czas daje na wyjściu "0", a kiedy pojawi się impuls, zmienia stan wyjścia na "1" i zaczyna ładować kondensator; stan wyjścia zmieni się z powrotem na "0"...

    Projektowanie Układów   04 Lip 2005 14:25 Odpowiedzi: 42    Wyświetleń: 8952
  • Bardzo silny impuls elektro-magnetyczny

    Witam! Chaciałem się dowiedzieć jak zbudować urządzenie, które wyzwalałoby bardzo silny impuls magnetyczny (elektro-magnetyczny) - czyli bardzo dużą energię - na pewną obległość np.ok.1 metr. Nawet sam pomysł już by mi pomógł (układ elektroniczny już sam bym wymyślił). Konkretnie chodzi mi o takie coś, co by "nadawało" impuls elektro-magnetyczny w jednym...

    Projektowanie Układów   12 Cze 2005 20:19 Odpowiedzi: 9    Wyświetleń: 8709
  • Przerzutnik monostabilny. Wyzwalanie zboczem dodatnim i ujemnym.

    ... tylko jak zrobić taki prosty układ który po zmianie stanu na wejściu generuje pojedynczy impuls. ... Nie przesadzaj, jest bardzo prosty, stosuje się go w podwajaczach częstotliwości. Nie wymyślisz sam? ... Gdybym zrobił taki układ to po co mi 123 jak mógłbym pewnie regulować czas trwania impulsu na wyjściu w tym prostym układzie. 123 gwarantuje...

    Początkujący Elektronicy   25 Kwi 2006 08:34 Odpowiedzi: 3    Wyświetleń: 2744
  • wyzwalanie masą centralnego zamka w renault laguna 1997r

    Tak myślałem, że znajdę wyzwalanie masą w wiązce wychodzącej z włacznika na konsoli tylko jest tam troche tych kabli a ja nie wiem jak rozpoznać ktory to jest ten właściwy. Mam miernik ale jesli jest to chwilowy minusowy impuls to miernik nie zdąży mi tego nawet pokazać. Może ktoś zna sposób albo po prostu wie który to kolor kabla?

    Samochody Zabezpieczenia   26 Gru 2006 17:45 Odpowiedzi: 4    Wyświetleń: 4272
  • Przerzutnik typu D wyzwalany zboczem opadającym

    Przerzutnik D to właściwie przerzutnik RS z dodanym układem próbkującym. Zależnie od potrzeb sygnał D może być próbkowany na przednim lub tylnym zboczu sygnału C. Przykład rozwiązania (nieminimalny ale działający) Bramki U1A i U1B tworzą przerzutnik RS ustawiany albo z bramek U1C i U2A albo z wejść R i S (po inwerterach U3E i U3F odwracających stany...

    Początkujący Elektronicy   30 Gru 2007 20:00 Odpowiedzi: 18    Wyświetleń: 19519
  • Migawka aparatu wyzwalana dźwiękiem.

    no w sumie takie zjawiska jakie się chce fotografować trwają dość krótko więc rozchodzi się o mikrosekundy do dziesiątek mili... max pojedynczych sekund - chodzi mi o sprzętowe rozwiązania... a nie o samowyzwalacz dwusekundowy ;-) z aparatu :-) To następne zapytanie: z jaką rozdzielczością (w jednostce czasu) ma być możliwość ustawiania tego opóźnienia...

    Początkujący Naprawy   13 Gru 2010 18:15 Odpowiedzi: 9    Wyświetleń: 3552
  • Nie działa wyzwalanie lampy błyskowej

    Może to niezbyt nowoczesne ale proponowałbym załączanie impulsu wyzwalającego małym przekaźniczkiem. Jeśli jest to lampa załączana błyskiem innej lampy to przekaźnik może wprowadzać zbyt duże opóźnienie i trzeba zastosować elektronikę. Przy wykorzystaniu mosfeta IRF należy zapewnić stromość zbocza więc można sterować bramkę przez prosty przerzutnik...

    Początkujący Naprawy   04 Wrz 2010 08:29 Odpowiedzi: 11    Wyświetleń: 3958
  • Wydłużenie impulsów licznika

    Raczej wyzwalać z tych wyjść licznika układy monostabilne o czasie impulsu dłuższym niż trwa impuls z wyjścia licznika ale krótszym niż okres powtarzania impulsów na danym wyjściu. Metoda stosowalna przy stałej częstotliwości taktowania licznika.

    Początkujący Naprawy   17 Wrz 2010 11:41 Odpowiedzi: 4    Wyświetleń: 1298
  • Jak "oszukać: aparat na włączenie wyzwalania z zewnętrznej lampy?

    Witam Znalazłem u siebie nowiutką radziecką lampę błyskową i jestem posiadaczem aparatu fotograficznego Olympus SP-570uz. Jest ona zasilana z 230V i błyska wtedy, gdy zewrze się dwa styki co posiada. W aparacie jak sprawdziłem miernikiem po otwarciu lampy i błyśnięciu jej aparat taki impuls daje. Pytanie brzmi: co trzeba zrobić, aby aparat wykrywał...

    Początkujący Naprawy   16 Cze 2011 13:10 Odpowiedzi: 3    Wyświetleń: 1367
  • Filtrowanie impulsów z cewki zapłonowej z izolacją galwaniczną

    Stosowanie filtrów to droga donikąd. Pojedyncze ogniwo daje tłumienie 6 dB na oktawę, a to bardzo mało. Trzeba się skupić na tym, że impuls wyzwalający przeskok iskry jest o wiele dłuższy od impulsów zakłócających. W tym wypadku wystarczy całkowanie impulsów i odpowiednie wykorzystanie układu Schmitta. Niepokoi mnie, że piszesz o przeskoku iskry. Ja...

    Początkujący Naprawy   29 Lip 2011 15:22 Odpowiedzi: 26    Wyświetleń: 4470
  • Wyzwalanie sekwencji muzyką w Lumidesk

    Jeszcze raz witam. Pytanie. Czy można wyzwalać sekwencje zapisane w lumidesk za pomocą impulsów muzyki, ale nie BPM tylko w czasie rzeczywistym, np. na uderzenie stopy czy tym podobne. A pytanie przy okazji, czy ten konwerter USB DMX może być obsługiwany przez jakiś program alternatywny. Pozdrawiam.

    Optoelektronika   16 Paź 2011 16:34 Odpowiedzi: 0    Wyświetleń: 704
  • O triakach, snuber'y samoczynne wyzwalanie itp

    Do pracy jako łącznik stosuj MOC 3043, 63. Myślę że nie planujesz regulacji fazowej silnika 800W Najlepiej zakłócenia gaś tam gdzie powstają -czyli na kluczach w migomacie. Filtracja zasilania -później.Triaka wyzwala ci odpowiedni impuls na bramce lub przepięcie na anodzie. Poczytaj na stronach ST o zakłóceniach i doborze gasików. Zastanów się co to...

    Początkujący Naprawy   19 Gru 2011 21:23 Odpowiedzi: 3    Wyświetleń: 2880
  • Spawarka OZAS TYROS-250 regulacja wyzwalania

    Przebiegi na bramkach ?? To zależy od rodzaju zastosowanego układu sterowania. Jeśli są transformatory wyjściowe na bramki to sygnał powinien być impulsami prostokątnymi zmieniającymi swoje długości w zależności od regulacji. Gdy zwiększysz podstawę czasu (np. 10x) to te wspomniane wcześniej impulsy powinny składać się z sinusoidy. Częstotliwość tej...

    Elektro Spawarki i Prostowniki   15 Cze 2012 19:49 Odpowiedzi: 2    Wyświetleń: 3448
  • Generator impulsów i układ zliczania na PC. Jak połączyć te 2 układy w jeden?

    Witam użytkowników forum. Mam następujący problem do rozwiązania. Potrzebuję połączyć dwa schematy, tak żeby sygnał z generatora impulsu wyzwalanego zboczem narastającym (schemat 1), zapalał diodę transoptora układu do zliczania impulsów na PC (schemat 2). Ewentualnie czy można zastąpić transoptor z układu zliczania tranzystorem który jest na wyjściu...

    Początkujący Naprawy   23 Cze 2012 15:48 Odpowiedzi: 2    Wyświetleń: 2320
  • Alter SSO 2004 - Nie wyzwala dzialania zaworu odcinającego

    Trafiła mi się w ostatnim czasie kilka razy, powtarzająca się usterka systemu detekcji i odcięcia gazu Alter SSO 2004. Cewka nie dostaje impulsu. Z obcego źródła wyzwala więc awaria cewki wykluczona. Akumulator sprawny. Spotkał się ktoś kiedyś z czymś takim? Może po prostu przekaźnik... Mam zdemontowaną jedną centralkę i jak tylko znajdę czas (!!!!!)...

    Systemy Alarmowe   24 Kwi 2013 06:45 Odpowiedzi: 1    Wyświetleń: 1029
  • Czujnik wstrząsu z jednym impulsem na wyjściu

    Dowolny przetwornik wstrząsu (np. piezo, czujnik ruchu), regulacja czułości (może wzmacniacz z regulowanym wzmocnieniem) i układ kształtowania impulsów do standardu np. TTL lub CMOS, dalej bramka, dalej dwa wyzwalane jednocześnie uniwibratory o czasie (można regulować i chyba ta opcja konieczna) np. 1s i 2s, , pierwszy do licznika (zliczanie impulsów)...

    Systemy Alarmowe   19 Kwi 2013 22:28 Odpowiedzi: 9    Wyświetleń: 1674
  • Atmega64 - Obrotomierz samochodowy na LCD - zliczanie impulsów obrotów.

    Nie wiem czy to odpowie na twoje pytania ale : Gdy auto ma 2500 obr/min upc pokazuje 5000(tutaj nie wina programu lecz impulsów Zakładam, że masz 4 cylindrowy silnik, z dwoma cewkami. Cewka wyzwalana jest dla dwóch cylindrów jednocześnie tz. podczas zapłonu dla jednego cylindra oraz w tym samym czasie podczas wydechu dla drugiego. Tak więc na jeden...

    Mikrokontrolery   06 Lis 2014 18:52 Odpowiedzi: 10    Wyświetleń: 4599
  • Jak wygenerować pojedynczy impuls?

    Witam, Potrzebuję wykonać maksymalnie prosty układ, który wygeneruje mi impuls o długości około 1sek i potencjale masy. Czyli normalnie na wyjściu jest 12V (napięcie zasilania układu) a po wyzwoleniu wejścia stanem niskim (normalnie na wejściu również będzie stan wysoki czyli 12V) na wyjściu pojawia się wymagany pojedynczy impuls. Może być też taka...

    Projektowanie Układów   23 Sty 2015 00:23 Odpowiedzi: 5    Wyświetleń: 2007
  • C1-118A, podstawa czasu - "losowe" wyzwalanie

    Sprawdziłem przebiegi "podświetlania" zarówno na wejściu do płytki (z tyłu oscyloskopu) jak również na tranzystorze A3-VT9. Nie różnią się one od tych, które występują sprawnym oscyloskopie. Oznacza to, że jestem poniekąd "w kropce" i zaczynam na poważnie rozważać propozycję Rubensa dotyczącą zamiany płytki podstawy czasu ze sprawnego oscyloskopu. Dla...

    Warsztat elektronika   19 Lut 2017 01:02 Odpowiedzi: 35    Wyświetleń: 4098
  • TRIOPO TR-586EX - Lampa błyskowa nie wyzwala błysku

    Sprawdź układ wyzwalania (odpowiadający za wytworzenie impulsu WN na transformatorze zapłonowym), tranzystor odpowiadający za regulację "mocy" (czasu błysku). W lampach Yongnuo często problemem był kondensator w układzie zapłonowym.

    Aparaty Fotograficzne Serwis   11 Paź 2017 21:57 Odpowiedzi: 6    Wyświetleń: 4017
  • Szybki impuls nie wychwytywany przez ATMega 32

    Jak masz połączony układ? Sprawdzasz stan pinu w pętli programu czy podłączyłeś go na wejście wyzwalające przerwanie? Przy tak krótkich impulsach sprawdzanie stanu w pętli może tracic impulsy, ale wywoływanie przerwania powinno zawsze zadziałać. Jeśli kulka zasłoni wiązkę całą średnicą to impuls będzie trwał ok 50us , czyli ok 200 cykli - nie powinno...

    Mikrokontrolery AVR   07 Paź 2017 14:55 Odpowiedzi: 32    Wyświetleń: 2784
  • Pomiar częstotliwości występowania impulsu LOGO 8

    Wyzwalaj timer zboczem narastającym jednego impulsu, a resetuj opadającym kolejnego. Zboczem narastającym drugiego przekaż bieżącą wartość timera przed jego zresetowaniem do komparatora. Skorzystaj z definicji częstości f=1/T. Czyli mierzysz czas pomiędzy dwoma kolejnymi impulsami (jest to najkrótszy okres, dla którego częstość ma jakikolwiek sens)....

    Automatyka Sterowanie PLC   26 Lip 2018 06:33 Odpowiedzi: 10    Wyświetleń: 813
  • Układ wyzwalający alarm, przy zmianie z 5V na amplitudę 8,4 - 3V

    Najprostszy będzie układ z przerzutnikiem monostabilnym. W danych katalogowych NE555 jest taki schemat, który Tobie się przyda. Na wejściu jest układ różniczkujący 10uF/100R z rezystorem podłączonym do 5V. Impulsy wyzwalające wchodzą przez kondensator 10uF. Układ reaguje na spadek napięcia. Możesz ewentualnie dodać inwerter (pozdrawiam wszystkich nowomownych...

    Początkujący Elektronicy   13 Sty 2019 22:36 Odpowiedzi: 3    Wyświetleń: 279
  • Pomiar amplitudy impulsu PWM za pomocą TIM3 i ADC: Wyzwania i kwestie techniczne

    Witam, Program ma na celu zmierzyć amplitude impulsu PWM, wypełnienie i częstotliwość. O ile z pomiarem wypełnienia i częstotliwośći nie mam problemu, to pomiar amplitudy nie do końca działa. Szczegóły: PWM 1 kHz. 5 < D < 95 % Na zboczu narastającym sygnału PWM uruchamiany jest TIM3 który trigeruje co 10 us pomiar ADC, które poprzez DMA zapisuje...

    Mikrokontrolery   12 Lis 2020 12:45 Odpowiedzi: 0    Wyświetleń: 249
  • Dobór kondensatora do elektromagnesu 12V 0,5kg / 0,6A dla impulsów kilkunastu na sekundę

    Chciałbym też aby kondensator ładował się dość szybko, aby dał powiedzmy 15-20 takich impulsów na sekundę. 20 impulsów na sekundę to częstotliwość 20Hz. Samym kondensatorem raczej nic nie załatwisz. Musisz mieć układ wyzwalający impuls i przerywający go po określonym czasie. Tak wiem. Mam układ na ne555 z potencjometrem, który działa, jednak użyłem...

    Początkujący Elektronicy   19 Kwi 2021 21:42 Odpowiedzi: 21    Wyświetleń: 774
  • Serwo sterowane impulsami z PLC: Wyjaśnienie wpływu współczynnika przekładni na prędkość obrotową

    Cześć, Proszę o wytłumaczenie następującej kwestii. Serwo jest sterowane po impulsach z plc. Do zmiany położenia w zależności od producenta sterownika wykorzystuje się np. komendę ddrvi lub innej. Generalnie podaje się ilość impulsów, częstotliwość i numer wyjścia impulsowego i kierunku. Wtedy wałek silnika obróci się o podaną ilość impulsów z prędkością...

    Automatyka Przemysłowa   20 Lut 2023 12:33 Odpowiedzi: 7    Wyświetleń: 177
  • Częste wyzwalanie różnicówki w nowym bloku - co może być przyczyną?

    Instalacja jednofazowa czy 3 -fazowa ??? Nie zmienia to faktu, że przyczyna elektryczna wyzwolenia styków roboczych nadal istnieje. Tego nie wiemy. (Impuls wyzwalający nie wyłącza napiecia.} Autor nie pisze, że przy załącz-wyłącz (bez TESTU). nie pojawia się napięcie. Możliwe elektryk na "oględzinach" znajdzie przyczynę.

    Elektryka Dla Każdego   18 Gru 2023 18:12 Odpowiedzi: 14    Wyświetleń: 501
  • generator zadanej liczby impulsów 1:255

    Najlatwiej to zrobić na małym procecorze np at89c2051 + kwarc. Na porcie ustawiasz ilość generowanych impulsów a wyzwalasz wolną linią procesora. W programie masz kształt impulsu i jego czestotliwośc. Wiecej informacji dostaniesz komunikując sie ze mną na GG.

    Początkujący Elektronicy   16 Sty 2006 21:40 Odpowiedzi: 2    Wyświetleń: 1011
  • generator krótkiego impulsu

    potrzebne jest rozwiązanie układowe które generowało by krótki impuls okolo 2sek. po podaniu na wejscie ligicznej jedynki,jeszcze muszę dodać że ta logiczna jedynka na którą ma reagować układ będzie trwać długi czas a więc odpada tu układ 555 gdyż impuls wyzwalający musi być krótszy od generowanego.

    Projektowanie Układów   06 Lut 2005 19:14 Odpowiedzi: 1    Wyświetleń: 1914
  • NE555 monostabilny generator krótkiego impulsu

    A co jest źródłem wyzwalania i co to za układ w całości ? Źródłem wyzwalania będzie naciśnięcie najzwyklejszego przycisku. Cały uklad ma odpowiadać za sterowanie obwodów w komorze do pomiarów elektromagnetycznych. Część sterująca, której podstawą mają być 555, ma znajdować się w pomieszczeniu kontrolnym. Będzie 7 przycisków i naciśnięcie każdego ma...

    Początkujący Elektronicy   24 Maj 2008 08:38 Odpowiedzi: 8    Wyświetleń: 11137
  • [..2313] Pomiar długości impulsu

    Zauważ, że zanim program ponownie wejdzie w warunki to LCD + WAITMS zabierze tyle czasu, że impuls już dawno przejdzie, a program dopiero zacznie wykrywać jego zakończenie. Ponadto użycie w poleceniu CONFIG TIMER1 opcji PRESCALE od razu włącza zliczanie. Wykorzystaj przerwania INT0 wyzwalane zboczem opadającym i tam podłącz sygnał mierzony. Będzie o...

    Mikrokontrolery AVR   01 Lip 2008 06:45 Odpowiedzi: 10    Wyświetleń: 2783