REKLAMA

analogowy input

Znaleziono około 547 wyników dla: analogowy input
  • Algorytm postępowania przy odczytaniu wejścia analogowego

    Rozwiązanie dla Step 7 Przyjmijmy że masz wejście analogowe o nazwie INPUT. Odczytujesz je w postaci zmiennej WORD lub INT (16 bitów). Wiesz iż sensor mierzy wartośc w zakresie od Min do Max. Zatem potrzebujemy takich danych jak INPUT,Min,Max oraz musimy wiedzieć czy czujnik jest bipolarny czy unipolarny. Wprowadźmy dodatkowo dwie zmienne do celów obliczeniowych...

    Automatyka Przemysłowa   21 Kwi 2010 17:08 Odpowiedzi: 3    Wyświetleń: 1870
  • Dodanie obsługi analogowego potencjometru do kodu dla Arduino Pro Micro i shiftera Logitech

    Witam. Proszę o pomoc w dodaniu obsługi analogowego potencjometru do istniejącego kodu. Układ działa na Arduino Pro Micro. Istniejący kod pozwala podłączyć shifter firmy logitech do dowolnej kierownicy. Chciałbym dodać jeszcze obsługę pedału sprzęgła. O to istniejący kod. #include <Joystick.h> // Create the Joystick Joystick_ Joystick; // H-shifter...

    Mikrokontrolery Początkujący   04 Lut 2021 16:13 Odpowiedzi: 5    Wyświetleń: 501
  • s7-1200 - Maksymalna częstotliwość odczytu wejść analogowych

    Właśnie chodzi o to że to takiego modułu np 4AI - SM 1223 Nie jest jasno napisane z jaką częstotliwością są odświeżane wejścia analogowe w plc. Edit: Jednak w zakładce "Technical / CAx Data" jest taka infomacja Analog inputs Number of analog inputs4; Current or voltage differential inputs permissible input frequency for current input (destruction...

    Automatyka Przemysłowa   08 Mar 2013 22:19 Odpowiedzi: 7    Wyświetleń: 2934
  • REKLAMA
  • Konfiguracja wejść analogowych w sterowniku S5-95U

    Witam! Oczywiście, że da się tak zrobić. Nie wymaga to żadnej dodatkowej konfiguracji. A wystarczy zajrzeć do manuala. 12.1.4 Using Analog Inputs of the S5-95U as Additional Digital Inputs By performing the following simple trick, you can also use the analog inputs of the onboard I/Os as digital inputs: Connect sensors with signal voltages > 10 V to...

    Elektro Maszyny i Urządzenia   17 Sie 2007 15:01 Odpowiedzi: 3    Wyświetleń: 2190
  • Obsługa wyjścia analogowego matrycy FPA do formatu PAL

    Witam Mam możliwość kupna matrycy mikrobolometrycznej z pojedynczym wyjściem analogowym. Matryca ma rozdzielczość 384x288. Odczyt obrazu odbywa się na zasadzie odpytywania każdego rzędu matrycy w określonej sekwencji. Problem polega na tym, że chińska dokumentacja ma tylko jeden fragment dotyczący samego procesu odczytu obrazu, który prezentuję poniżej:...

    Projektowanie Układów   18 Maj 2015 10:40 Odpowiedzi: 0    Wyświetleń: 612
  • REKLAMA
  • Arduino + L293D - PWM z konkretnym ustawieniem INPUTów

    Witam forumowicze, mam problem z PWMem na jednym z 2 silniczków podłączonych przez L293D. Posiadam analogowy potencjometr, z którego sczytuję sobie wartość (wcześniej bez potencjometru na sztywno ustawiałem sobie wartość z zakresu 0-255) i podaję ją jako PWM (piny 5 i 6) do silniczków. Wszystko wydaje się grać, ale jest jedna dziwna rzecz. Mianowicie...

    Projektowanie Układów   21 Sty 2019 22:07 Odpowiedzi: 3    Wyświetleń: 651
  • Zintegrowane wejście cyfrowe 24VDC i analogowe 0-10V

    LM324 Chcę podać na wejście i otrzymać na wyjściu: we 24V -> wy 5V ... we 10V -> wy 5V we 8V -> wy 4V we 6V -> wy 3V we 2V -> wy 1V we 0V -> wy 0V Na wyjściu nie chcę mieć większego napięcia niż 5V. Dzielnik napięcia na wejściu - obniżający Uwe w stosunku 1/2 (np. 22k/22k) i to obniżone napięcie na wtórnik napięcia LM324 zasilany 5V. LM324 dopuszcza...

    Projektowanie Układów   10 Mar 2017 18:41 Odpowiedzi: 42    Wyświetleń: 3264
  • REKLAMA
  • Arduino UNO R3 - uszkodzona po podaniu 12V na input

    Witam, mam podobny problem. Czy jest możliwe żeby spalił się jeden pin dokładnie pd5 w atmega328 po podaniu na niego ok 24v ? Miałem podobnie ale z wejściami analogowymi. uC niby przeżył ale nie pamiętam czy całe ADC poszło czy tylko jeden port.

    Arduino   12 Wrz 2017 17:28 Odpowiedzi: 15    Wyświetleń: 1497
  • Wnętrze starego telefonu analogowego Slican ATS-20

    Witajcie moi drodzy. Przedstawię tu wnętrze starego telefonu systemowego ATS-20 produkowanego przez polską firmę Slican z Bydgoszczy. Sprawdzę na jakim mikrokontrolerze jest zrealizowany oraz w jaki sposób ten mikrokontroler komunikuje się z telefonią analogową. Zobaczę jak zrealizowana jest obsługa wyświetlacza LCD i klawiatury. Slican ATS-20 Telefon...

    Wnętrza urządzeń   15 Lis 2021 10:12 Odpowiedzi: 15    Wyświetleń: 3879
  • Jak podłączyć wzmacniacz analogowy do cyfrowego TV, mając konwerter DAC?

    Pod które gniazda podłączyłeś wyjście z konwertera DAC? Wyjście z konwertera musisz podłączyć pod wejść wzmacniacza czyli Input. Jak już masz podłączone pod odpowiednie wejście we wzmacniaczu to musisz wybrać odpowiednie źródło dźwięku we wzmacniaczu albo ręcznie albo za pomocą pilota. Nie znam modelu wzmacniacza, ale pewnie wyboru źródła dokonuje się...

    Połączenia urządzeń   08 Cze 2022 08:10 Odpowiedzi: 5    Wyświetleń: 810
  • EPG dla tv analogowej VECTRA

    EPG dla tv analogowej VECTRA (szablon do edycji) ! TVxb Version 2.0009 ! !xmltvoutput="C:\Program Files\DScaler\DScalerEPG.xml" !xmltvoutput="C:\EPG.xml" !mcechanneloutput="C:\Documents and Settings\All Users\Dane aplikacji\TVxb\cache\ChannelInfo.xml" !--end of main parameters-- ! Other Information on Main Parameters: ! Insert channel schedule information...

    Software serwis   05 Gru 2012 23:00 Odpowiedzi: 29    Wyświetleń: 4131
  • ARDUINO YUN - swobodne wartości na wejściach analogowych

    Panowie chyba coś "popsułem", mianowicie zacząłem kombinować z tymi portami WE/WY aby zrobić w ten sposób jak napisał kolega BlueDraco i coś jest nie tak z Arduino ponieważ teraz gdy wgrywam najprostszy kod na załączanie i wyłącznie diody z przycisku to po podaniu GND na wejście nr 7 (załączenie diody) to po zdjęciu tego sygnału dioda nie gaśnie :/...

    Arduino   14 Kwi 2016 20:01 Odpowiedzi: 3    Wyświetleń: 1005
  • Skalowanie wyjścia analogowego

    Witam, Proponuję zamienieć INT na REAL a następnie wyskalować. Ten link może być pomocny [url=-(tia-portal)-how-do-you-scale-inte... Pozdrawiam,

    Automatyka Sterowanie PLC   17 Sty 2018 10:51 Odpowiedzi: 3    Wyświetleń: 1605
  • S7-1200 1214c DC/DC/DC: Wejścia analogowe prądowe, czujnik ultradźwiękowy

    Jeżeli dobrze rozumiem z datasheet'u, to nie, wejścia mogą mierzyć tylko napięcie. Można natomiast użyć rezystor, a prąd przez niego płynący obliczyć z prawa Ohma. Należy dobrać odpowiedni rezystor do zakresu mierzonego prądu. [url=]Link, opisane po angielsku, ze schematami, choć nie jestem pewien czy jest to całkowicie poprawne rozwiązanie.

    Automatyka Sterowanie PLC   02 Wrz 2022 11:56 Odpowiedzi: 3    Wyświetleń: 393
  • REKLAMA
  • Parametr input range praktycznie

    Input range - zakres sygnałów wejściowych, zwykle podawane w dBu lub dBV: dBu=20*log_{10}\ \frac{Uwe}{\sqrt{0.6}\ V} dBV=20*log_{10}\ \frac{Uwe}{1\ V} Uwe - wartość skuteczna sygnału wejściowego Napięcie odniesienia w mianowniku dla dBu, wynika z przeliczenia mocy 1mW na 600Ω, zmiana czułości wejścia może się odbywać przez zmianę wzmocnienia stopnia...

    Początkujący Elektronicy   09 Mar 2010 19:09 Odpowiedzi: 2    Wyświetleń: 1094
  • [Arduino Mega 2560] - odczyt z 3 czujników analogowych

    float temp; float puls; float gsr ; int tempPin = A2; int gsrPin = A1; int pulsPin = A0; void setup() { Serial.begin(9600); pinMode(A0, INPUT); pinMode(A1, INPUT); pinMode(A2, INPUT); } void loop(){ //analogReference(DEFAULT); analogRead(pulsPin); delay(10); puls...

    Arduino   02 Maj 2014 18:16 Odpowiedzi: 3    Wyświetleń: 1974
  • Uppleva - podłączenie kablówki analogowej od UPC na drugim tv

    Witam dziś dopiero się dowiedziałem ze mając select hd w jednym tv mogę sobie włączyć sygnał analogowy w drugim tv jest to około 50 kanałów ( tak mi powiedział konsultant) jak mogę podłączyć ten sygnał analogowy w drugim tv, który jest telewizorem Uppleva z Ikea ? podłączyłem sobie kabel do tej puszeczki białej z UPC ( środkowy był wolny wiec odkręciłem...

    Instalacje antenowe archiwum   07 Sty 2015 19:39 Odpowiedzi: 12    Wyświetleń: 4506
  • Łączenie masy na pcb z podziałem na część cyfrową i analogową. Prośba o pomoc

    Taki może być: AD8628? Z noty tego wzmacniacza: Lowest auto-zero amplifier noise Low offset voltage: 1 μV Input offset drift: 0.002 μV/°C Rail-to-rail input and output swing 5 V single-supply operation High gain, CMRR, and PSRR: 120 dB Very low input bias current: 100 pA max Low supply current: 1.0 mA Overload recovery time: 10 μs

    Projektowanie PCB   28 Lip 2020 22:02 Odpowiedzi: 34    Wyświetleń: 1173
  • Kamera JVC GR-D70 - problem z analog video input

    Mam problem z kamerą miniDV: JVC grd70ejz Przy kopiowaniu poprzez wejście analogowe nagrań dokonanych kiedyś kamerą analogową ORION vhsc, przy ich odtwarzaniu obraz jest "poząbkowany", pomimo, że podgląd w czasie nagrywania jest OK. Wejście analog jest OK: inne kasety nagrywane z TV nagrywa dobrze. W serwisie powiedziano mi wstępnie, że "kamera widocznie...

    DVD/VCR/Kamery/BD Serwis   15 Gru 2006 14:30 Odpowiedzi: 2    Wyświetleń: 2038
  • input commons (znaczenie terminu)

    Według mojej przestarzałej wiedzy, przewód wspólny może, ale nie musi być dołączony do "masy". Dlaczego? Ponieważ pojęcie "masa" obejmuje kilka funkcji w obwodach elektrycznych, co widać po różnych nazwach, np. masa ochronna (np. wyrównuje potencjały obudów urządzeń łączonych kablami), masa zasilania (czyli linia zamykająca powrót prądu do źródła zasilania),...

    Automatyka Przemysłowa   30 Lip 2008 20:11 Odpowiedzi: 3    Wyświetleń: 1101
  • simatic s200 konfiguracja wejść analogowych

    witam borykam się z zaprogramowaniem sterownika by podłączyć do niego sygnał analogowy napięciowy i nie wiem szczerze jak się do tego zabrać o ile sygnał cyfrowy dla poszczególnych inputów nie stanowi dla mnie większej tajemnicy o tyle analogowy jest dla mnie czarna magią jeżeli jest ktoś w stanie pomóc to bym prosił o jakieś wytyczne pozdrawiam

    Automatyka Przemysłowa   21 Cze 2009 18:04 Odpowiedzi: 6    Wyświetleń: 2323
  • Jak połączyć kamerę analogową CCTV z matrycą LCD

    Bardziej chodzi mi o to czy można podpiąć jakoś albo bezpośrednio pod któreś z pinów matrycy albo po zastosowaniu jakiegoś małego układu a nie przy jakichś wielkich kosztach finansowych. Wyprowadzenia pinów oraz cały datasheet zamieszczam w załączniku. Dodano: Albo do matrycy który ma takie oznaczenia pinów PinSymbolFunction 1GND Ground for logic circuit...

    Inne Serwis   26 Sty 2010 22:30 Odpowiedzi: 6    Wyświetleń: 3654
  • [Sprzedam] Adam-4017+,8 AI analog input wejścia,ModbusRTU

    Witam, posiadam na sprzedaż moduł ADAM-4017+ - Moduł 8 wejść analogowych (mV/V/mA, z obsługą Modbus/RTU) Moduł jest nowy raz tylko podłączone zasilanie. Wszystko co było w zestawie. Montaż na listwę 35mm lub na dowolną płaską powierzchnię. Charakterystyka * Liczba kanałów: 8 (wszystkie kanały różnicowe) * Rozdzielczość: 16 bitów * Zakresy wejściowe...

    Ogłoszenia Elektronika   09 Lip 2010 07:57 Odpowiedzi: 0    Wyświetleń: 741
  • Wybór telewizora LED - głównie do gier (niski input lag)

    Witam. Od jakiegoś czasu szukam dla siebie telewizora (zdecydowałem się na podświetlenie LEDowe z racji opinii i parametrów - wielkość telewizora brałem pod uwagę na końcu) za ok. 3 tyś. zł. Preferowana wielkość to 40-42 cali. Do telewizora będzie podłączona naziemna telewizja analogowa oraz cyfrowa telewizja (dekoder poprzez HDMI) oraz komputer (przez...

    RTV Co kupić?   02 Mar 2011 17:53 Odpowiedzi: 4    Wyświetleń: 5867
  • wybór konwetera A/D na linie analogową

    Szukam odpowiedniego konwertera A/D dla linii analogowej domofonu. Nie znam charakterystyki prądowej tej/podobnych linii więc proszę o pomoc w wyborze. (ma 'dać rade' z dźwiękiem) myślę o tym: TDA8703 [url=]doc · 8-bit resolution · Sampling rate up to 40 MHz · High signal-to-noise ratio over a large analog input frequency range (7.1 effective...

    Domofony i kontrola dostępu   04 Mar 2011 14:47 Odpowiedzi: 4    Wyświetleń: 1058
  • s7-300 - sterowanie analogowe

    jeżeli masz 0 i 100, to podajesz od 0 do 100 (INT) INInputREALI,Q,M,D,L,P, ConstantThe input value to be unscaled to an integer value. Podajesz REAL.. :-)

    Automatyka Przemysłowa   05 Sty 2013 14:35 Odpowiedzi: 39    Wyświetleń: 5277
  • GIGABYTE GA-EP31-DS3L (rev. 2.0) - jak podłączyć Digital Input?

    Witam, Posiadam płyte główną GIGABYTE ga-ep31-ds3l_2.0, która posiada 6 analogowych wejść/wyjść, oraz dwa cyfrowe - Digital Input/Output, tu zdjęcia panelu realteka oraz panelu płyty głównej. na zdjęciu płyty głównej widać pod wejściem na myszke, pomarańczowe okrągłe wejście SPDiF OUT, a pod nim czarne, wg manual'a płyty właśnie DIGITAL Input, wygląda...

    Komputery Hardware   23 Lip 2013 18:54 Odpowiedzi: 2    Wyświetleń: 1644
  • Micromaster 440 - Analogowa wartość zadana i stała wartość

    Edytowałem post, bo to co wcześniej napisałem nie działało, teraz jest ok, sprawdziłem, mam MM na stole. Powrót do ustawień fabrycznych: P0010 - 30 P0970 - 1 P0700 - 2 P0703 - 33 P0704 - 16 P1000 - 3 P1019 - 2 P1075 - 755.0 Domyślnie DI4, DI5, DI6 jest przyporządkowane do częstotliwości stałej więc nie warto tego zmieniać, użyj DI4 zamiast DI2, chyba,...

    Automatyka Przemysłowa   12 Gru 2013 04:43 Odpowiedzi: 4    Wyświetleń: 1788
  • Wyjście analogowe 0-5V i 4 świecące diody.

    Dzień dobry, Chciałbym przedstawić analogowe wyjście z czujnika jako zapalające się diody. Jestem amatorem, dlatego chciałbym poprosić o podpowiedzi, czy mój pomysł będzie działał i czy może jest jakiś inny - lepszy. Pomysł mam taki, że do układu wpinam komparator LM339N, i do niego wprowadzam w 4 piny input napięcie z analogu. Do pozostałych par tych...

    Początkujący Elektronicy   08 Kwi 2014 13:26 Odpowiedzi: 6    Wyświetleń: 684
  • Wejścia/wyjścia analogowe PLC

    Witam. Pytania jakie mnie sprowadzają dotyczą sterownika PLC. W niektórych aspektach chce się upewnić czy moje myślenie jest dobre, a w innych o wyjaśnienie sytuacji. Tematów wyjaśniających mój problem nie znalazłem i choć można powiedzieć to "pospolite" problemy to mnie odpowiedzi się znaleźć nie udało. 1.Jeżeli mamy np oznaczenia [24V DC (out)] -->...

    Automatyka Sterowanie PLC   14 Kwi 2016 13:01 Odpowiedzi: 4    Wyświetleń: 5409
  • Joystick analogowy 15 pin - Podłączenie joystick 'a 15 pin do złącza 9 pin.

    Może to być cyfrowy joy podłączany do analogowego game portu. Wtedy będzie symulował tylko trzy położenia potencjometru (środek przy braku wychylenia i dwie skrajne pozycje przy wychyleniu w jedną i drugą stronę). Pinout i schemat układu dopasowującego cyfrowy joystick do analogowego game portu jest tutaj: Jeśli jest to tak rozwiązane to sama zmiana...

    Komputery Początkujący   23 Paź 2016 22:39 Odpowiedzi: 4    Wyświetleń: 2070
  • Przerwanie od komparatora analogowego

    Witam, zmodyfikowałem program do postaci przedstawionej poniżej. Niestety nadal wyświetlacz LCD pokazuje 0.00. Oczywiście po sprawdzeniu miernikiem program jest realizowany (program obsługi przerwań jest realizowany) lecz na wyświetlaczu cały czas ukazuje sie wynik 0.00. Dodałem kondensator do potencjometru tak jak mówiłeś ale to nic nie dało. Wszystkie...

    Mikrokontrolery   23 Lip 2017 18:11 Odpowiedzi: 5    Wyświetleń: 924
  • Opóźnienie wartości analogowej w LOGO! Soft Comfort

    Chcę aby po każdym cyklu 10 minut program porównywał temperaturę wyjściową z temperaturą wejściową. I wtedy przez te 10 minut temperatura na wyjsciu zmienia się o ten 1 stopień, a następnie znowu porównuje temperaturę wyjściową z wejściową. Coś na zasadzie kodu poniżej: a:=a-1; IF a<=0 THEN a:=czas IF input>outputTHEN output:=output+1; END_IF;...

    Automatyka Sterowanie PLC   08 Gru 2019 23:48 Odpowiedzi: 7    Wyświetleń: 714
  • Co oznacza wejście (input) w układzie elektronicznym, jakie są rodzaje wejść?

    Poprzednio było o [url=]wyjściach układów elektronicznych, dzisiaj przyjrzymy się wejściom. Wejścia w układach elektronicznych podzieliłbym następująco: analogowe, cyfrowe i zasilające. Przy czym to ostatnie budzi najmniej wątpliwości: układ musi być czymś zasilany (baterią, zasilaczem etc.), więc musi do tego istnieć stosowane wejście. Takich wejść...

    Poradniki Elektronika   05 Mar 2020 19:35 Odpowiedzi: 0    Wyświetleń: 3306
  • Wydobycie dźwięku analogowego z TV: Połączenie HDMI ARC z wieżą audio przez ekstraktor HDCP 4K

    Próbuję podłączyć pewien TV pod wieżę z wejściem analogowym audio. Ponieważ ten TV nie posiada wyjścia słuchawkowego / audio out ale wspiera technologię HDMI ARC, zakupiłem sobie urządzenie Ekstraktor Hdmi 2.0 Toslink Konwerter Arc Hdcp 4K ( ) w nadziei, że będzie to konwerter HDMI ARC do analogowego audio. Kablem HDMI wspierającym ARC połączyłem wyjście...

    TV Początkujący   12 Paź 2022 19:07 Odpowiedzi: 5    Wyświetleń: 648
  • karta muzyczna wejście analogowe

    [quote="mw811"]A czy ktoś wie dokładnie jakie napięcia można podać może schemat przykładowej karty z wejściem lub szczegółowy blokowy.[quote] Trochę pogooglowalem i okazuje się, że parametry (napięcia i impedancja) poszczególnych kart jednak się różnią. Ze schematem całej karty to chyba nie taka prosta sprawa, ale na pewna można znaleźć wybrane bloki...

    Programowanie   17 Lis 2004 21:55 Odpowiedzi: 18    Wyświetleń: 3168
  • jak najprościej wysłać sygnał analogowy do PC?

    W C++ dostęp do parametrów z gameport'a ogólnie najłatwiej można otrzymać przy pomocy bibliotek DirectX (direct input dokładniej). Co do samego gameport'a mam pytanie z trochę innej beczki. Czy można dwa potencjometry występujące w joy'u zastąpić w następujący sposób: [img][/img] Chce aby ten układ badał mi ile przycisków z osi AX i AY zostało wciśniętych...

    Początkujący Elektronicy   13 Maj 2005 21:47 Odpowiedzi: 4    Wyświetleń: 2557
  • Kompresja materiału video z kamery analogowej na format DivX

    oczywiście , VIVO - video input/output

    Komputery Hardware   29 Kwi 2006 14:48 Odpowiedzi: 12    Wyświetleń: 3347
  • Jaki tunerek kupić? Analogowy czy cyfrowy?

    Do Djlj24: Tak ten Technics także posiada wyjście Control Input.

    RTV Co kupić?   03 Sty 2007 22:13 Odpowiedzi: 9    Wyświetleń: 1623
  • Wejścia analogowe. Programowanie sterowników PLC Siemensa.

    Ponieważ nikt jeszcze nie odpowiedział na Twojego posta, może ja spróbuję coś zasugerować. To, że wejście analogowe ma "2 adresy", to wynika z tego że może (bo tak mi podpowiada intuicja ;) ) po prostu dla tych wejść zarezerwowane są 2 bajty, czyli "word". Czyli wejścia te należy definiować jako analog input word. I co o tym myślicie?

    Automatyka Przemysłowa   14 Mar 2007 19:43 Odpowiedzi: 3    Wyświetleń: 4478
  • Analogowy sygnał wideo i transoptor ??

    W TV Sony KV2184MT jest gotowa płytka (K-BOARD - audio, video input). Wymaga niezależnego zasilania z transformatorków obu stron. Transoptory są wewnątrz układów hybrydowych.

    Początkujący Serwisanci   03 Paź 2007 15:57 Odpowiedzi: 14    Wyświetleń: 1886
  • Klucze analogowe jako Bypass Elektroniczny

    Zaczerpnięte ze strony Toma: "Do poprawnej pracy układu klucza analogowego niezbędne jest dodatkowe użycie diody włączonej w obwód bramki, np. 1N4148 albo jeszcze lepiej BAT82, której zadaniem jest zapobieganie jej przepolaryzowaniu w kierunku przewodzenia." Pozwolę sobie jeszcze raz wrócić do układu którego schemat załączyłem w pierwszym poście. Dokonałem...

    Projektowanie Układów   01 Gru 2009 21:19 Odpowiedzi: 44    Wyświetleń: 10798
  • Sygnał z SPDIF input bezpośrednio do głośników

    głośniki są podłączone do wyjścia analogowego

    Komputery Hardware   08 Mar 2009 16:32 Odpowiedzi: 3    Wyświetleń: 2314
  • adresowanie modułów analogowych simatic

    witam mam przykładowo taki moduł AI4/12Bit+AO2/12Bit rozumiem ze do wejsc, mozna podpiac np 4 przetworniki temperatur + 2 wyjscia jak w stepie mozna adresowac takie wejscia ? mamy w stepie input start 256 end 263 i pytanie teraz jak zaadresowac np pierwsze wejscie, chodzi mo te IW MW ? i czy to ma byc np FW256 ? bo simatic5 a simatic7 sie chyba roznia...

    Automatyka Przemysłowa   07 Cze 2009 20:36 Odpowiedzi: 4    Wyświetleń: 1478
  • "Analog Input Word" - czy to określenie ma sens?

    bo zastanawia mnie jeszcze jeden fakt, a mianowicie taki: czy w przypadku późniejszego przekształcenia sygnału analogowego na postać cyfrową, powiedzmy właśnie o długości 16b nie można użyć właśnie sformułowania 'Analog Input Word'? Jak dokładny jest zwykle przetwornik A/C na takim wejściu falownika, ktoś wie? Dodam, iż informacja odnośnie mego pytania...

    Początkujący Elektronicy   24 Kwi 2009 11:01 Odpowiedzi: 13    Wyświetleń: 1284
  • Obsługa programowa we/wy analogowych w step5

    Kolego to nie jest problem analogówki tylko podstaw ogólnych. Co wg ciebie oznacza zapis DECL: XE IW 100 Decl XE IW to ogólne parametry deklaracji bloku FB DECL od declaration XE nazwa zadeklarowanej zmiennej IW typ zmiennej czyli Input Word. Co to jest 100 to nie wiem bo powinno być np FW 100 Iw100 DW100 ale nie samo 100 Tylko w przypadku deklaracji...

    Automatyka Przemysłowa   24 Maj 2009 10:47 Odpowiedzi: 9    Wyświetleń: 2700
  • wejście cyfrowe a analogowe

    Witam. Moja przygoda z PIC'ami ciągle trwa. Mam taki problem zrobiłem obsługę wewnętrznego przetwornika a/c na pic18f2550 sygnał podaje na AN0 a wyjścia cyfrowe ustawiłem na PORTB. Chodzi o to, że na PORTB na bitach mulipleksowanych z przetwornikiem RB0 i RB1 mimo konfiguracji ich jako cyfrowych wyjść (rejestr ADCON1 "00001110") procesor nie chce mi...

    Mikrokontrolery PIC   06 Lip 2009 16:12 Odpowiedzi: 3    Wyświetleń: 1774
  • PLC Siemens S7 Anolog Input

    Witam! Chciałem się poradzić w takiej sprawie. Otóż mamy podłaczony np czujnik ciśnienia do wejścia analogowego sterownika (pętla prądowa 4-20mA) i w pewnym momencie na wyświetlaczu znika nam wskazanie z tego czujnika. Sprawdzamy pętle wszystko ok, wymieniamy czujnik i nadal brak odczytu. Zastanawiamy się czy wejście do sterownika nie zostało uszkodzone....

    Automatyka Przemysłowa   07 Mar 2011 12:44 Odpowiedzi: 7    Wyświetleń: 4490
  • Zamiana sygnałów z odbiornika na analogowe

    Wykorzystaj tryb input capture w mikrokontrolerze, poradzi sobie z tym doskonale. W aparaturach najczęściej wyjścia są sterowane kolejno - za pomocą diod podepnij wszystkie do jednego wejścia IC, a dodatkowo do różnych nóg mikrokontrolera przed diodami. IC zmierzy czas trwania impulsu, a na podstawie wejść mikrokontrolera będziesz mógł określić którego...

    Robotyka Modelarstwo i CNC   06 Sie 2010 19:44 Odpowiedzi: 2    Wyświetleń: 969
  • Telewizja Analogowa a Nowy TV

    Witam! Zbędne obawy, ten model, jak każdy normalny odbiornik TV ma gniazdo antenowe. W tabeli to pozycja RF in - Terrestial/Cable Input Pozdrawiam, Lee

    Instalacje antenowe archiwum   04 Maj 2011 12:26 Odpowiedzi: 4    Wyświetleń: 2782
  • amplituner Sony STR-DB930 - brak dźwięku z 5.1 input

    Witam, mam nadzieję że dobrze opiszę mój problem z amlitunerem - po podłaczeniu analogowo odtwarzacza dvd do wejść 5.1 input i przełączeniu amplitunera na odpowiednią pozycję nia działa dźwięk 5.1 - słychać tylko przednie kolumny. Nie wiem co się dzieje. Po optycznym wszystko jest ok - działa wszystko. Niestety zależy mi na działaniu analogowego wejścia...

    Audio Początkujący   29 Lis 2012 01:50 Odpowiedzi: 6    Wyświetleń: 3361
  • Technics SA-DX940 - Digital Input, do czego służy i dlaczego nie działa?

    Nie ma. W takim razie nie możesz podłączać do digital in. Musisz zaopatrzyć się w kabel minijack -> 2 x chinch i podłączyć do wejścia analogowego.

    Połączenia urządzeń   15 Mar 2012 22:29 Odpowiedzi: 20    Wyświetleń: 5331
  • Spaliłem oscyloskop analogowy - co źle zrobiłem?

    Widzę sami eksperci się zebrali, czy ktoś z piszących o przekroczeniu zakresu miał w rękach kiedykolwiek instrukcję od oscyloskopu - typowy oscyloskop analogowy, czy cyfrowy jest tak zrobiony, że wytrzymuje maksymalne napięcie na każdym zakresie. W internecie jest serwisówka PM3217 wynika z niej że powinien wytrzymać co najmniwej 42V (rated input voltage)...

    Początkujący Naprawy   16 Maj 2012 17:40 Odpowiedzi: 21    Wyświetleń: 5110
  • Analogowa Klawiatura membranowa 4x4

    Dobra zacznę od początku. Odszedłem od tego pomysłu i zrobiłem normalnie tracąc 8 pinów na atmedze... najwyżej użyję później rejestru przesównego. Z kolei teraz mam problem z usunięciem wywalania mi miliarda cyferek przy jednorazowym wciśnięciu i nie wiem jak to ruszyć. Próbowałem różnymi sposobami ale żaden nie przyniósł pożądanego efektu. Mój kod...

    Początkujący Elektronicy   17 Gru 2012 15:18 Odpowiedzi: 7    Wyświetleń: 2724
  • pic32mx - Jednoczesne użycie pinu jako cyfrowego wyjścia i analogowego wejścia.

    Jednocześnie nie, gdyż włączenie przetwornika A/C i wybranie w jego konfiguracji jednego z pinów jako wejścia analogowego, automatycznie wyłącza funkcję cyfrowego wejscia/wyjścia dla tego pinu. Like this: [syntax=c] //--------------------------------------... // Configure ADC port as digital or analog input: 1 =...

    Mikrokontrolery PIC   10 Maj 2013 12:52 Odpowiedzi: 8    Wyświetleń: 2535
  • Dodanie Analog Input Scaling Block do TiaPortal

    Witam. w swoim projekcie muszę przekształcić sygnał analogowy wychodzący z sondy mierzącej wysokość wody w zbiorniku jednak nie mam żadnego dostępnego bloku w TIAPortal który by mi to umożliwił. Podobno jest możliwość dodania takiego boku, ale najpierw trzeba go ściągnąć a następnie wprowadzić do oprogramowania, ale nie wiem do końca jak to zrobić....

    Programowanie   29 Maj 2013 11:35 Odpowiedzi: 0    Wyświetleń: 495
  • wzmacniacz + sterowanie analogowe VU

    Tylko ten układ VU ma dedykowane napięcie zasilania 9-12V, przynajmniej tak wynika ze schematu. Też myślałem o tym że bezpieczniej mu podać +15V, (wspólne trafo, ten sam układ mas) ale czy ono nie będzie za wysokie ? Jak myślisz, można zasilić 15V układ VU ? Czy nie zakłóci symetryczności zasilania +/- 15V (mały pobór prądu dla VU to fakt)... Wg. datasheet...

    Początkujący Elektronicy   27 Sie 2013 21:27 Odpowiedzi: 6    Wyświetleń: 1992
  • Jak działa wejście PLC typu Dry contact input?

    podłączam prosto z zasilacza do digital input dry contact na plus 24v i na minus 0v. Proszę tego nie robić! Kolega może uszkodzić te wejście, o ile już nie zostało uszkodzone. Przy wejściu Dry Contact niezbędne napięcia są podawane prze te wejście. Zwarcie wejścia (H) Dry Contact do masy tego wejścia (N) spowoduje, że kontroler odbierze to jako zmianę...

    Automatyka Przemysłowa   10 Lis 2015 05:35 Odpowiedzi: 8    Wyświetleń: 6408
  • Siemens S7-1200 - termopara i wejscie analogowe

    Na ostatnim obrazku typ pola zmień z Input (pozwala na wpisywanie wartości) na Output (wyświetlanie wartości). PS: Może niezwiązane z pytaniem, ale na pierwszym obrazku dwie zmienne REAL się nakładają MD2 i MD4 (REAL zajmuje 4 bajty).

    Automatyka Przemysłowa   07 Lis 2016 20:11 Odpowiedzi: 34    Wyświetleń: 13128
  • Co zawierają stałe A0, A1, A2? Piny analogowe jako tablica.

    To są wartości całkowite i można je dokładnie tak samo używać. Możesz zwyczajnie wpisać A0,A1... do swojej tablicy. Masz tu przykład: [syntax=c] uint8_t ax[]={A0,A1,A2,A3,A4,A5}; void setup() { int16_t i; Serial.begin(9600); delay(5000); analogReference(DEFAULT); for(i=0;i<6;i++) { pinMode(ax[i],INPUT); Serial.print("A");Serial.print(i); Serial.print("=...

    Arduino   27 Sty 2016 00:12 Odpowiedzi: 11    Wyświetleń: 1212
  • jak wyszkać kanały analogowe w programie VLC pod ubuntu mate 15.10

    Witam Ale co odbierasz, DVB-T (naziemna), czy analogowa z kablówki? Posiadam telewizję kablową analogową z Multimedia i z tej telewizji kablowej są dodatkowo kanały naziemnne cyfrowe MUX-1, MUX-2 i MUX-3 sudo lsusb # sudo lsusb Bus 001 Device 001: ID 1d6b:0002 Linux Foundation 2.0 root hub Bus 005 Device 002: ID 1a2c:0023 China...

    Linux   03 Lut 2016 10:16 Odpowiedzi: 29    Wyświetleń: 3099
  • siemens S5 460-7LA12 - Konfiguracja wejścia analogowego

    Poczytaj [url=]TĄ instrukcję. Od str. 303 masz informacje o obsłudze kart wejść analogowych w S5-115U. Wspomniałeś, że masz czujniki rezystancyjne. Na str. 311 i 312 masz schemat ich bezpośredniego podłączenia do karty. Jeśli natomiast chcesz pozostać przy wejściach 4...20mA, to sposób podłączenia masz rozrysowany na str. 313. Lewy schemat dla przetwornika...

    Automatyka Przemysłowa   18 Lut 2017 08:31 Odpowiedzi: 3    Wyświetleń: 1065
  • Radmor 5102 - Potencjometr analogowy -> cyfrowy

    A sprawdzałeś jaki jest sygnał na tym potencjometrze, jaka amplituda? EDIT: Potencjometr klasyczny będzie poprawnie pracował w zasadzie przy każdym napięciu. Cyfrowy ma ograniczenia i powyżej pewnego nastąpi przesterowanie. Cytat z datasheet: Maximum input signal levels across the potentiometer cannot exceed the operating power supply of the device...

    Audio Serwis   20 Wrz 2017 07:01 Odpowiedzi: 5    Wyświetleń: 1008
  • Komparator analogowy + ograniczenie prądowe - XMEGA

    Witam, mam takie pytania: 1. Ile trwa czas opóźnienia dla wewnętrznego komparatora? jest on na poziomie kilku ns? W dokumentacji chyba nie ma podanych czasów. 2. Korzystam z Atxmega32e5, czytając rozdział "Fault Extension", dobrze wnioskuję, że jest on tutaj całkowicie rozdzielony od systemu AWeX i nie trzeba uruchamiać AWeX żeby skorzystać z fault...

    Mikrokontrolery   04 Lis 2017 18:54 Odpowiedzi: 25    Wyświetleń: 1344
  • Arduino z LCD Nokia 5110 - skala analogowa ze wskazówką

    Dzięki pomocy kolegów wyżej napisałem kod rysujący wskazówkę w funkcji zmiennej. Nie jestem zadowolony z jej działania, tzn. przy szybkiej zmianie położenia traci ona wyrazistość. Poza tym z uwagi na małą rozdzielczośc tego wyświetlacza (84x48 pixeli) wskazówka ma dosyć wyraźnie "schodki" Testowo napisałem kod, który mierzy napięcie i symbolicznie obrazuje...

    Arduino   11 Sty 2018 19:44 Odpowiedzi: 3    Wyświetleń: 1152
  • Głośniki analogowe 5.1 a TV - Chcę podłączyć głośniki analogowe Genius 5.1 pod t

    Straty nie ma żadnej, w każdym urządzeniu z wejściem cyfrowym i tak w środku jest taki dekoder ;) Do zmiany wejścia służy switch input na dekoderze. Jest dokładnie tak jak narysowałeś i tak możesz podłączyć. Format danych jest ten sam i w toslinku i w spdif, tylko medium inne. Teoretycznie toslinkiem można sygnał przesyłać na dłuższe odcinki ale w domu...

    Audio Serwis   30 Wrz 2021 19:30 Odpowiedzi: 10    Wyświetleń: 1902
  • Brak napięcia na wyjściach analogowych 6es7 332-5hb01-0ab0 w TIA V15

    Dokonaliśmy zakupu hardware Siemens do obsługi prasy hydraulicznej: 1-cpu 317-2ek14 2-analog input331 3-analog output 6es7 332-5hb01-0ab0 4-wyspa et200-im155 5-panel ktp1200 Projekt został stworzony w TIA V15 -obsługa wejść analog prawidłowa -sterowanie wyspy et200 prawidłowa -współpraca z panelem =ok Problem: -jedyny problem polega na braku...

    Automatyka Sterowanie PLC   26 Kwi 2019 18:45 Odpowiedzi: 14    Wyświetleń: 861
  • Jakie urządzenie zastąpi PLC dla 5 czujników analogowych 0-10V?

    Jak chcesz maksymalną wartość to multiplekser jest przerostem formy nad treścią (byłby dobry gdybyś chciał zrzucać dane z wszystkich czujników). Najprościej coś takiego: albo np: Da ci to na wyjściu największą wartość z wejść.

    Automatyka Przemysłowa   11 Lip 2019 20:27 Odpowiedzi: 19    Wyświetleń: 852
  • BenQ - Input not supported po podłączeniu nowego monitora BenQ 1336x768 60hz

    Nie ma czegoś takiego jak przejściówka HDMI -> VGA, bo VGA korzysta z sygnału analogowego, a HDMI cyfrowego. Możesz co jedyne kupić konwerter, chociaż te najtańsze są też wątpliwej jakości i mogą nie działać. Może lepszym pomysłem byłby zakup przejściówki DVI-I -> VGA, o ile posiadasz takie wyjście w swojej karcie graficznej.

    Komputery Początkujący   16 Lip 2019 13:10 Odpowiedzi: 5    Wyświetleń: 597
  • Konwerter z VGA (output) na HDMI (input) dla rejestratora BCS bez HDMI

    Mam rejestrator BCS'a z VGA bez HDMI, monitor mam z HDMI. Ma konwertować sygnał analogowy na cyfrowy.

    Komputery Co kupić?   09 Wrz 2020 13:27 Odpowiedzi: 4    Wyświetleń: 225
  • Sterowanie analogowym odtwarzaniem CD Audio w CD-ROMie z komputera

    W WinXP we właściwościach CDROM ustawienie cyfrowego odtwarzania dźwięku włączone lub wyłączone nic nie daje. Aby odtwarzać Audio CD przez złącze słuchawkowe napędu należy: w WMP 9 w opcjach ustawić odtwarzanie analogowe w Winamp 5.04 w opcjach Input -> Nullsoft CD/LineIn plugin odznaczyć opcję: Enable digital audio extraction when possible Natomiast...

    Komputery Początkujący   15 Paź 2020 23:25 Odpowiedzi: 21    Wyświetleń: 726
  • [STM32] STM32L073RZ - Nieoczekiwana kolejność odczytu wejść analogowych przez ADC z HAL

    Wprost z ReferenceManual: The sequence of the channels to be converted can be programmed in the ADC_CHSELR channel selection register: each analog input channel has a dedicated selection bit (CHSELx). The order in which the channels will be scanned can be configured by programming the bit SCANDIR bit in the ADC_CFGR1 register: • SCANDIR=0: forward...

    Mikrokontrolery ARM   09 Lut 2021 23:58 Odpowiedzi: 11    Wyświetleń: 705
  • Woltomierz analogowy (modelu nie znam) Czy da się woltomierz przystosować do VU

    Jedynie potrzebny mi jest po to, żeby mierzyć napięcie wyjściowe z subwoofera, a taki analogowy fajnie wygląda :D Masz na myśli napięcie na głośniku woofera? A jakie masz napięcie na zasilaczu wzmacniacza? Jeżeli nie więcej niż ? 40 V, to daj mostek prostowniczy równolegle do zacisków głośnika, a woltomierz podłącz do napięcia wyprostowanego za mostkiem....

    Początkujący Elektronicy   06 Kwi 2021 16:48 Odpowiedzi: 4    Wyświetleń: 363
  • Poszukiwany układ do wyznaczania minimalnej wartości z wyjść analogowych 0-10V

    Zlozylem uklad do wyznaczenia wartosci minimalnej oraz drugi do wyznaczania wartosci maksymalnej z tego linka ale niestety ten do pomiaru wartosci minimalnej nie spelnia moich oczekiwan ze wzgledu na to ze okazuje sie ze minimalne napiecie ktore wykrywa to ok. 1,3V co troche ogranicza mi zakres pomiarowy. To że masz kłopoty przy niskich napięciach...

    Automatyka Przemysłowa   27 Wrz 2021 20:12 Odpowiedzi: 10    Wyświetleń: 387
  • Atmega 32 freemodbus win avr

    Witam, W protokole Modbus są dwa rodzaje rejestrów: Input i Holding Register. Różnica polega na tym, że Input można tylko odczytywać i są to przeważnie wejścia analogowe. Rejestry typu Holding można odczytywać i zapisywać, mogą to być rejestry wewnętrzne lub wyjścia analogowe. Input Register (03) są odczytywane poprzez kod funkcji 04, natomiast Holding...

    Mikrokontrolery AVR   03 Maj 2011 18:47 Odpowiedzi: 18    Wyświetleń: 6208
  • [Sprzedam] Moduły we/wy do PLC GEFanuc IC693xxxyyyz

    Cześć! Posiadam do sprzedania następujące moduły do systemu PLC GEFanuc: GEFanuc IC693ALG221C Moduł wejść analogowych (INPUT ANALOG 4PT CURRENT) GEFanuc IC693ALG221C Moduł wejść analogowych (INPUT ANALOG 4PT CURRENT) GEFanuc IC693MDL940D Moduł wyjść przekaźnikowych (OUTPUT RELAY 2 16PT) GEFanuc IC693MDL740C Moduł wyjść cyfrowych (OUTPUT 12/24 VDC 0.5A...

    Ogłoszenia Elektronika   25 Lip 2013 16:17 Odpowiedzi: 0    Wyświetleń: 495
  • Gramofon_thomson_TT700 podłączenie do soundbar Sony_ht_s400

    nic się nie dzieje. Tzn nie ma dźwięku z listwy Bo nie może się dziać a to moja wina, bo zamieściłem link do nieodpowiedniego konwertera, za co bardzo Cię przepraszam! Podałem link do conwertera sygnału cyfrowego (TOSLINK - input) na analogowy (RCA - output) a powinienem zamieścić link do konwertera sygnału analogowego (RCA - input) na cyfrowy (TOSLINK...

    Audio Nagłośnienie Domowe   19 Gru 2023 23:29 Odpowiedzi: 10    Wyświetleń: 279
  • Sterownik PCL i czujnik temperatury pt1000

    Witajcie, Posiadam w swoich rękach sterownik PCL oraz czujnik temperatury (dane poniżej). Mam za zadanie wyświetlić bieżącą temperaturę na wyświetlaczu urządzenia. Problem polega na tym, iż nie wiem jak poprawnie podłączyć czujnik temperatury do sterownika aby móc otrzymać wartości możliwe do zinterpretowania przez sterownik. Czujnik temperatury: PT1000...

    Automatyka Przemysłowa   08 Sty 2011 16:19 Odpowiedzi: 6    Wyświetleń: 3576
  • jak podłączyć kino pod tv

    Witaj. Spróbuje pomóc rozwiązać Twój problem. Kino domowe LG DH4220 posiada tylko wejście sygnału analogowego ( oznaczone jako INPUT AUX L R ) i tylko tą drogą można podać sygnał z TV. Telewizor sygnał audio na pewno ma na wyjściu cyfrowym ( OPTICAL OUT ), słuchawkowym i może mieć na gnieździe EUROZŁĄCZA. Najtańszym rozwiązaniem jest podłączenie przewodu...

    Połączenia urządzeń   23 Lis 2013 20:57 Odpowiedzi: 3    Wyświetleń: 2463
  • [ARDUINO] - Konwersja z Float na Const CHAR

    Witam, tak jak w tytule mam problem z konwersją. Próbowałem na różne sposoby z rozwiązaniem problemu ale bezskutecznie. Zależy mi aby wartość 'wolty': const char text[] = wolty; exit status 1 initializer fails to determine size of 'text' Prosiłbym o jakieś nakierowanie na rozwiązanie problemu.

    Arduino   03 Gru 2016 16:51 Odpowiedzi: 6    Wyświetleń: 1254
  • Yamaha RX-V750 - uwalone wejscie w Multi Ch In

    Witam serdecznie. Mam dziwny problem z tym Amplitunerem. Otoz, podlaczam komputer do niego (Soundblaster LIVE 5.1) przez analogowe wejscie Multichanel Input 5.1. Mam 5 glosnikow, i wszysko wczesniej chodzilo. Natomiast wczoraj podlaczylem sluchawki do Amplitunera i niestety gral mi tylko jeden kanal. Zaczolem sprawdzac, czy to nie wtyczka, lub wina...

    Audio Serwis   22 Sty 2006 15:45 Odpowiedzi: 0    Wyświetleń: 976
  • Wzmacniacz z wyjściem na subwoofer??

    Technics SA-AX 6 lub 7 posiadają zintegrowany wzmacniacz dla subwoofera z wszystkimi stosownymi regulacjami dla w/w, niestety brak w nich wejść cyfrowych jedynie analogowy 6 chanel input .

    Audio Estradowe, Sceniczne, Studyjne   20 Lis 2010 14:50 Odpowiedzi: 3    Wyświetleń: 2333
  • Behringer XENYX Q802USB zastąpi kartę dźwiękową ?

    W XENYX Q802USB: Sygnał audio do nagrywania na komputerze przez USB pobierany jest z MAIN OUT po głównym tłumiku "MAIN MIX". Sygnał do odtwarzania z komputera po USB jest wprowadzany w sumator, który sumuje sygnał audio z komputera z sygnałem analogowym wprowadzonym przez INPUT "2-track/USB" i trafia na główną szynę MAIN

    Audio Estradowe, Sceniczne, Studyjne   07 Lut 2018 00:03 Odpowiedzi: 1    Wyświetleń: 1224
  • Problem z odczytem Modbus TCP/IP przez Carela c.Pco

    Mam wyzwanie Carel nie czyta mi dwóch urządzeń mianowicie CDSENET ME31 po ethernecie USR W630 po ethernecie Modscan czyta bez problemu, Weintek czyta bez problemu. Międziy dwoma Carelami zmienne czytane są poprawnie. Carel z IOT i USR nie wymienia danych. Poniżej odczyt z IOT dwa wejścia analogowe jako Input Register Konfiguracja portu jest poprawna...

    Automatyka Przemysłowa   30 Sty 2023 21:33 Odpowiedzi: 2    Wyświetleń: 222
  • DVR i nie jestem zadowolony Analog sd hd-sdi 1080 4k 5mp

    DVR i nie jestem zadowolony Analog sd hd-sdi 1080 4k 5mp Korzystałem z kilku DVR i nie jestem zadowolony Każdy z poniższych ma jakieś funkcje, które są mi potrzebne i każdy ma wady Potrzebuje sprzętu, który będzie mnie satysfakcjonował , jak na razie mam dosyć wyrzucania pieniędzy w błoto . Moje doświadczenia: EDRHD4H4 detale - ... Dobra strona -pięknie...

    Monitoring Wizyjny CCTV   23 Kwi 2015 21:36 Odpowiedzi: 2    Wyświetleń: 1224
  • Podłączenie dekodera oraz komputera do miksera i dalej do głośników

    Witam, mam mały problem z rozegraniem tego tak jakbym chciał - o ile się da. Otóż mam głośniki Logitech Z906, dekoder z netii z wyjściem optycznym SPDIF toslink, w komputerze kartę dźwiękową SoundBlaster XAE5 (również ma wyjście optyczne) oraz malutki mikser analogowy Behringer Xenyx 502. Chciałem zrobić tak, by dźwięk z komputera oraz telewizji był...

    Połączenia urządzeń   11 Wrz 2020 11:16 Odpowiedzi: 6    Wyświetleń: 597
  • Zastosowanie układu D/A TDA1311 we własnej aplikacji?

    Być może tak jest nie wiemy jak działa CONTROL AND TIMING. Poziomami "H" i "L" przełączane są słowa i pośrednio sterowanie wyjściami analogowymi. Same rejestry LOR i ROR muszą być przełączane poziomem WS, aby uniknąć stanów nieustalonych w momencie przepisania z LIR do LOR lub z RIR do ROR. Ponieważ w momencie wystąpienia pierwszego zbocza BCK pierwszy...

    Projektowanie Układów   26 Gru 2008 16:04 Odpowiedzi: 5    Wyświetleń: 2220
  • Projektor + kino domowe + Dekoder N, jak podłączyć?

    Czytajac twoj post i przegladajac mozliwosci jakie masz - a jest ich nie wiele, cos sprobuje opisac. Rozumiem, ze w tej calej konfiguracji pojektor dziala jako TV. Niestety najgorszy w tym calym zestawieniu jest wlasnie projektor. Ale do rzeczy. Podam ci opis jak polaczyc poszczegolne elementy. Komputer -> projektor. Obraz za pomoca kabla VGA z wyjscia...

    Połączenia urządzeń   17 Lis 2013 21:54 Odpowiedzi: 5    Wyświetleń: 17481
  • SOny KDL - 40HX751 - Podłączenie tv do głośników i odtwarzacza BR

    Twój TV posiada analogowe wyjście słuchawkowe Stereo (z boku zielone Audio OUT), które bez problemu możesz podłączyć z jednostką centralną Genius pod wejście oznaczone jako "2-CH INPUT: TV" przewodem Mini-Jack Stereo 3,5 mm na 2x RCA (Cinch) Stereo. Tego odtwarzacza SONY BDP-S4200 nie podłączysz bezpośrednio do jednostki centralnej Genius - brak wyjść...

    Połączenia urządzeń   12 Lis 2014 14:25 Odpowiedzi: 1    Wyświetleń: 2088
  • Separacja galwaniczna GND

    I tutaj zaczyna się źródło problemu: "unfortunately many demonstration graphics focus on the data lines, and omit the ground line for simplicity." To jest na potwierdzenie moich poprzednich słów, że rysunki poglądowe nie muszą być kompletne. oraz The connection of ground may happen implicit, because the logic ground of all devices is connected to some...

    Projektowanie Układów   10 Cze 2018 12:04 Odpowiedzi: 101    Wyświetleń: 4620
  • Monski Pong na Arduino [Uno] w IDE Processing

    Witam, W tym DIY Konstrukcje chciałbym zaprezentować urządzenie do gry w Monski Pong. Cały projekt składa się z dwóch programów, modułu Arduino Uno i płytki PCB. Do zbudowania tego urządzenia zachęcam wszystkich początkujących jako dobry wstęp do podstaw komunikacji Arduino z komputerem z pomocą portu szeregowego. Cały projekt miał być zbudowany na...

    DIY Konstrukcje   19 Mar 2020 20:59 Odpowiedzi: 3    Wyświetleń: 2856
  • Zestaw Qfix Minibot z atmegą

    Witajcie, Jestem początkujący w dziedzinie mikrokontrolerów (zawodowo zajmuje się systemami erp oraz programowaniem) więc z góry dziękuję za wyrozumiałość doświadczonych forumowiczów. Podobny post umieściłem w dziale robotyka modelarstwo, tak więc proszę nie banujcie mnie za ponowne wpisy tutaj - być może ktoś kto mógłby mi pomóc po prostu tam nie zagląda....

    Mikrokontrolery AVR   25 Lut 2010 00:05 Odpowiedzi: 2    Wyświetleń: 1149
  • ESP8266-12 + Mega2560 + DS18B20 + LED 7-seg TM1637 = wysyłanie pomarów MySQL WWW

    Witam, PROJEKT ostatecznie ukończony :D wersja ostateczna programów dla płytek MASTER Arduino Mega2560 i SLAVE ESP8266-12E Testowałem urządzenie przez kilka dni, w międzyczasie dokonałem kilku przeróbek, jak w kodzie tak i w połączeniach PIN itp. Usunięte zostały wykryte błędy, najważniejsze to obsługa klawiszy i błąd podczas modyfikacji bez zapisu...

    DIY w budowie   21 Lis 2019 03:12 Odpowiedzi: 2    Wyświetleń: 2706
  • Płytka KOS v1.2 (Arduino 90USB1286) + LCD DMT80480C070_02WT

    Witam, Wpadła mi ostatnio w ręce płytka KOS v1.2 która jest sterownikiem drukarek 3D. No i na zasadzie "czy mi się uda" postanowiłem odpalić na niej jeden z wyświetlaczy ze sterownikiem DGUS. A przy okazji pojawiła się okazja aby po raz pierwszy dotknąć ARDUINO (dlatego kod nie jest zbyt elegancki). Na szczęście C jak C podobne w każdym wykonaniu, choć...

    DIY Konstrukcje   26 Sie 2015 20:06 Odpowiedzi: 12    Wyświetleń: 5148
  • Freeze Arduino przy odłączonym LCD lub funkcją Serial.print

    chyba, że zmienna i jest zadeklarowana wcześniej jako globalna. tak, jest globalna, w zasadzie większość zmiennych mam globalne i właśnie mi o to chodziło, że nie które mógłbym zmienić na lokalne. Powinieneś użyć getFreeMemory() dobrze, wstawiłęm rozmiar "int size = 8192" dla Mega, wtedy wynik to 6694, gdybym zostawił 2048, wtedy wynik to 2047. No...

    Arduino   16 Lis 2018 23:54 Odpowiedzi: 41    Wyświetleń: 1182
  • Koder stereo - jak zbudować

    właściwie to to powyżej (schemat z 17grudnia) , to tylko Generator Sygnałowy , no i widać że jakiś z "niskiej półki" z cyklu jak to robią inni - polecam na weekend przeanalizowanie poniższego Stereo Procesora Generatora "DAVID-III" FM Processor/Generator - Model 718 SerVice Manual rozwiewa chyba większość wątpliwości i wskazuje kierunek dla tego tego...

    Projektowanie Układów   10 Cze 2023 09:39 Odpowiedzi: 547    Wyświetleń: 152006
  • s7-1200 PID zawór na parze, ogrzewanie zbiornika

    Obiekt: Zbiornik z cieczą ogrzewany przepływającą wewnątrz parą. Na rurociągu pary znajduje się zawór (sygnał otwieraj, sygnał zamykaj, i analogowo pozycja zaworu). W zbiorniku termometr odczyt analogowy. Sterownik s7-1200. Potrzebuję jakiś przykładów sterowania takim zaworem. Z którego regulatora lepiej skorzystać. PID_Compact czy PID_3Step. Myślałem...

    Automatyka Przemysłowa   11 Gru 2012 23:09 Odpowiedzi: 1    Wyświetleń: 1506
  • Hybrydowe tekstylne sensory pojemnościowo-rezystancyjne

    Poniższy projekt przedstawia czym są i jak wykonać zPatches. Są to proste sensory dotyku, oparte o pomiar pojemności i rezystancji tekstylnego materiału, co pozwala zastosować je jako urządzenia wejściowe w wielu różnych systemach, zwłaszcza w elektronice noszonej. Opisane poniżej sensory doskonale rozróżniają różne rodzaje dotyku, co pozwala na połączenie...

    DIY Zagranica   30 Mar 2018 10:37 Odpowiedzi: 0    Wyświetleń: 4350
  • Plazma LG RZ-42PY10X- po kilku sek. wyłącza się do stanu czuwania

    Po odcięciu +12V z P802 tv uruchamia się. Pojawiło się menu, można się po nim poruszać, dokonywać zmian. Po wyjściu z menu-na ekranie mam komunikat: brak sygnału. Po przyłączeniu sat tunera poprzez AV1 lub AV2 mam komunikat: brak sygnału. Po przyłączeniu anteny naziemnej- brak sygnału. Przyłączając PC poprzez wej. RGB input- pojawia się obraz. Chwilowo...

    TV LCD OLED Plazma Serwis   15 Paź 2011 13:36 Odpowiedzi: 19    Wyświetleń: 6454
  • Zegarek oparty o ATtiny85 w pierścionku

    Autor poniższej konstrukcji przedstawiał już podobny układ - miniaturowy zegarek oparty o ATtiny ( Krok 1: Przygotowania Mikrokontroler Układ oparty jest o mikrokontrolery AVR firmy Atmel ATtiny85. Autor wykorzystał element w obudowie SOIC z uwagi na niezwykle mały rozmiar. Układ ten zasilany jest z pojedynczej baterii; wybrana wersja ATtiny85V-10SU...

    DIY Zagranica   30 Mar 2016 12:23 Odpowiedzi: 9    Wyświetleń: 13176