REKLAMA

antena modulator

Znaleziono około 891 wyników dla: antena modulator
  • Podłączenie modulatora

    Witam. A jak wygląda obraz gdy przełączysz modulator w tryb test (dwa pionowe pasy). Może problemem jest interferencja z jakąś stacją naziemną. Wstoj się z modulatorem na inny kanał albo dla testów odłącz antenę TV od modulatora. Przewody wizji i fonii muszą być ekranowane.

    TV Serwis   07 Wrz 2005 03:03 Odpowiedzi: 3    Wyświetleń: 2126
  • REKLAMA
  • Podłączenie anten. Programy z tunera śnieżą i syczą.

    Pewno masz Samsunga lub Sagema bo chyba tylko one miały modulator.Prawdopodobnie musisz zmienić kanał na jakim "nadaje " modulator w tunerze (bliskość innej stacji) lub przed tunerem Polsatu usunąć wzmacniacz(zbyt duży sygnał na wejście modulatora tunera )Najlepiej jednak wejść anteną TV w modulator tunera a z niego do wzmacniacza i rozdział na 3 TV...

    Początkujący Serwisanci   25 Sty 2007 14:23 Odpowiedzi: 2    Wyświetleń: 1445
  • Problemy z wyjściem modulatora ferguson 7318

    Temat lekko postarzały, ale jednak go odświeżę. Spróbuj podłączyć go przez modulator, ale do wejścia modulatora nie podłączaj anteny. Ewentualnie zmień kanał modulatora, ponieważ może pokrywać się z którymś z anteny. Przez modulator nie uzyskasz dźwięku stereo. Tylko mono. Żeby tak było, musiałbyś kupić osobny modulator z dźwiękiem stereo.

    SAT Serwis   07 Maj 2007 16:24 Odpowiedzi: 3    Wyświetleń: 1253
  • Modulator BL AV-02 Blue Line- podłączenie kamer (CCTV)

    Witam! 1. Co to za wzmacniacz płytkowy? Przeważnie działa jak generator 2. Sprawdzić poziom sygnałów z anteny i z modulatorów 3. Kanały ustawione na modulatorach nie mogą być dowolne - muszą być dopasowane do częstotliwości z anteny 4. Generalnie - złe podłączenie całości Cześć! -wzmacniacz płytkowy, -Bez sygnału z anteny naziemnej też kiepski obraz,...

    RTV Użytkowy   27 Lis 2010 23:40 Odpowiedzi: 3    Wyświetleń: 3928
  • Modulator TV - problem

    Mam w mieszkaniu połączone poprzez rozdzielacze 3 telewizory pod 1 antenę. Chciałbym podłączyć pod tą instalację wyjście z karty graficznej mojego komputera (oczywiście posiada ona TV out). Postanowiłem do tego celu wykorzystać modulator ze starego tunera telewizji satelitarnej Amstrad 320. Po zlokalizowaniu wyprowadzeń podłączyłem napięcie. Nośna jest...

    Radiotechnika Serwis   26 Wrz 2003 05:36 Odpowiedzi: 2    Wyświetleń: 1923
  • REKLAMA
  • Modulator jako tv sender - jak?

    Posiadam sprawny modulator wymontowany z tunera sat. Jak przerobić taki modulator aby nadawać sygnał TV?? Chciałbym wysyłać zmodulowany sygnał z tunera i zwykłej anteny. Pozdrawiam

    Projektowanie Układów   30 Lis 2006 20:05 Odpowiedzi: 0    Wyświetleń: 831
  • Modulator Pegasus nie wykrywany przez komputer

    Gdzie chcesz podłączyć ten modulator? Przecież on generuje sygnał wcz i powinien być podłączony do wejścia karty TV. O jakim wykrywaniu mowa? Czy komputer wykrywa antenę (przecież ten modulator to lokalny nadajnik)?

    Początkujący Serwisanci   14 Kwi 2007 17:39 Odpowiedzi: 11    Wyświetleń: 2803
  • 2in1 czyli antena Sat i modulator TV

    Czy mogę wykorzystać istniejące połączenie tunera SAT z konwerterm i puścić w tym samym kablu sygnał z modulatora (UHF np MTV 30) wykorzystując np. sumatory lub zwrotnice a może jeszcze coś innego? Oczywiście z przesyłem napięcia do konwertera.

    SAT Serwis   04 Paź 2007 23:14 Odpowiedzi: 4    Wyświetleń: 1551
  • REKLAMA
  • połączenie sygnału z modulatora z sygnałem TV naziemnej

    Moja propozycja: Sygnał z anteny jei modulatora jest sumowany przez rozgałęźnik bierny [url=]Signal R-2 lub Telmor RM-2F. Rozgałęźnik jest tu elementem krytycznym. Antena musi być ze wzmacniaczem. Wzmacniacz jest potrzebny, aby nie dopuścić resztek sygnału z modulatora do anteny. Zsumowane sygnały podajesz na [url=]Rozgałęźnik aktywny ARA 1/4 F, który...

    Instalacje antenowe archiwum   13 Sty 2010 23:05 Odpowiedzi: 5    Wyświetleń: 5701
  • Modulator MP3 transmiter do radia samochodowego

    Witam. Musisz połączyć transmiter bezpośrednio do kabla anteny. Trzeba zrobić separator. Można też kupić inny modulator Fm z gotowym wyjściem antenowym włączany w przewód anteny.

    Samochody Elektryka i elektronika   23 Mar 2010 13:09 Odpowiedzi: 1    Wyświetleń: 2367
  • Co psuje tuner - antena czy modulator

    Witam, zepsuł mi się już drugi tuner dvbt. Schemat połączenia tuner->modulator->telewizor. Antena jest zasilana 12v. Tuner jest połączony z modulatorem kablem scart. Schemat "psucia" był identyczny w obydwu przypadkach. Wszystko hulało do czasu wyłączenia tunera. Potem już nie wstał, świeci się lampka cały czas na zielono. Dzięki za pomoc.

    RTV Użytkowy   04 Kwi 2013 13:54 Odpowiedzi: 8    Wyświetleń: 2061
  • Modulator FM do celów serwisowych

    Problem już rozwiązany. Problem tkwi w walniętym kablu sygnałowym. Przydało by się dobudować dodatkowy wzmacniacz wejściowy. (Limiter /kompresor mam). Urządzonko planuję używać tylko i wyłącznie do sprawdzania starego zakresu ukf OIRT przed przestrojeniem na nowe pasmo CCIR . Jaki najlepszy sposób regulacji napięcia dla diod BB104 B , 0-30v ? Dodano...

    DIY Poczekalnia   26 Lis 2017 15:30 Odpowiedzi: 13    Wyświetleń: 10703
  • Antena TV brak TVN'u

    Rozgałęznik jest metalowy "alda" na wtyk F Kabel z anteny idzie do modulatora tunera --> magnetowid --> rozgałęznik 3 wyjściowy do 2 tv ida oddzielne kable i jeszcze jeden idzie do kompa (karta tv) czyli z rozgałęźnika wychodzą 3 kable. Kable są dobrze zamocowane... czyżby starsze odbiorniki były robione na jakośc a nie na ilość? (tak jak teraz jest...

    Radiotechnika Serwis   16 Wrz 2005 19:58 Odpowiedzi: 3    Wyświetleń: 1224
  • LNA-177 - Czy on wzmocni pasmo VHF dla anteny DIPOL 16/21-60

    Wniosek z tego jest taki, że bez anteny dedykowanej na to pasmo będzie raczej ciężko z odbiorem z dalszych odległości. I nie tylko z tym będą problemy. Starsze dekodery mogą mieć problemy z dźwiękiem ( EAC3 ). Ja wczoraj wstroiłem Mux8 na NBox-ie 2850 i mam tylko EPG. Obrazu brak pomimo dobrego sygnału. Na paroletnim LG MUx8 szatkuje i nie do oglądania...

    Instalacje antenowe archiwum   14 Sty 2017 09:07 Odpowiedzi: 29    Wyświetleń: 4122
  • REKLAMA
  • VCR Panasonic NV-F65Q problem z modulatorem.

    Problem polega na tym iż po włożeniu anteny w modulator przy odtwarzaniu kasety magnetowid gubi obraz, bardzo śnieży. również cakłóca sam test pasów kontrolnych. Wszystkie napiecia z zasilacza, na modulatorze i RF converter są zgodne ze schematem. Nie jest to problem nakładania się programu z kablówki z wyjściem RF. Wystarczy włożyc antenę do magnetowidu...

    DVD/VCR/Kamery/BD Serwis   30 Mar 2009 10:46 Odpowiedzi: 5    Wyświetleń: 1035
  • Modulatory wysokiej częstotliwości

    Witam. Kupiłem na Allegro technicznie sprawny telewizor Tele Star 4004. Jest to telewizor cz-b 6 cali. O ile się orientuję, jest to niemiecka produkcja. Nie wiem, czy fonia w tym TV była przestrajana, czy nie, czy fabrycznie była w systemie DK. W każdym razie z własnej anteny odbiera TVP1 i TVP2. Obraz jest ładny, dźwięk słychać. Słychać niewielkie...

    Początkujący Serwisanci   27 Kwi 2007 12:44 Odpowiedzi: 8    Wyświetleń: 3107
  • Antena pokojowa - Brak Mux 3 w Szczecinie

    W ofercie Dipola jest takie cudo: Jak widzisz kosztuje majątek, do tego kawałek kabla i powinno działać, o ile masz otwartą przestrzeń w kierunku na Kołowo. Sam maszt jest jak wiesz widoczny gołym okiem ze Szczecina, przynajmniej w części śródmiejskiej, w kierunku na Police z tym już jest gorzej. Najładniej widać Kołowo spod masztu w Warszewie.. :)...

    Instalacje antenowe archiwum   26 Lis 2012 16:42 Odpowiedzi: 24    Wyświetleń: 9387
  • syganł SAT + 2x modulator + DVBT

    Trochę na rysunku pokręciłeś wejścia i wyjścia tego Mezona, ale mam nadzieję, że w "realu" jest to połączone poprawnie. Jakiś info na temat tego modulatora z wyświetlaczem...? Domyślam się że budżet jest ograniczony. Multiswich nie jest złym pomysłem, ale jest to dość drogie rozwiązanie, bo będzie wymagać wymiany także konwertera i dołożenia dwóch...

    Instalacje antenowe archiwum   04 Kwi 2015 21:50 Odpowiedzi: 7    Wyświetleń: 1590
  • Jak połączyć kamerę zewnętrzną z TV poprzez antenę analogową

    Potrzebujesz modulator telewizyjny. Tu video podłączasz kamerę, do RF IN istniejącą antenę TV (jeżeli jest oczywiście) z wyjścia RF OUT wyprowadzasz sygnał do telewizora. Jeżeli TV jest więcej (3) to używasz rozgałęźnika RF OUT .

    Zabezpieczenia Stacjonarne   19 Gru 2010 22:23 Odpowiedzi: 3    Wyświetleń: 2203
  • [Sprzedam] Modulator AV, aparat Canon, transformator 24V, matryca LCD i inne.

    Sprzedam kilka przedmiotów. Przesyłka pocztowa do wyboru - ekonomiczna lub priorytetowa. Za przesyłkę płaci kupujący. Koszt wysyłki uzależniony od wagi przedmiotu. Wpłata należności na konto. Niektóre ceny podlegają negocjacji, ale tylko niektóre. W razie jakich pytań pisać na PW. Zwykle odpowiadam dopiero wieczorem i to nie codziennie. Aparat Canon...

    Ogłoszenia Elektronika   22 Lip 2012 17:46 Odpowiedzi: 0    Wyświetleń: 2301
  • Nadajnik TV z modulatora.

    Witam, wykonałem nadajnik telewizyjny z modulatora od magnetowidu. Modulator ustawiłem tak aby nadawał na 36 kanale telewizji analogowej. Do wyjścia modulatora podłączony jest jakiś stary abonencki wzmacniacz sygnałowy (nie znam wzmocnienia). Antena zarówno w nadajniku jak i odbiorniku to kawałek drutu. Zasięg jest mały - kilka metrów i jakość obrazu...

    DVD/VCR/Kamery Początkujący   13 Gru 2015 23:18 Odpowiedzi: 7    Wyświetleń: 4203
  • Orion i antena. Podczas oglądania kaset obraz jest zaśnieżony.

    Za mocny sygnał z anteny, lub nakłada się na kanał na którym pracuje modulator z magnetowidu. -ogladać przez euro(chinch), -zmienić kanał w modulatorze,

    Początkujący Serwisanci   29 Mar 2005 19:57 Odpowiedzi: 1    Wyświetleń: 1068
  • VCR Thomson VTH 6300 - modulator

    Prawdopodonie na kanale 52 lub obok masz jakiś program z anteny. Ustaw modulator na kanał 36 i będzie OK.

    DVD/VCR/Kamery/BD Serwis   18 Kwi 2008 16:49 Odpowiedzi: 4    Wyświetleń: 1726
  • Wykorzystanie tunera analogowego jako modulator.

    O ile mi wiadomo przeciętny tuner analogowy nie miał możliwości modulowania sygnału ze SCART, czyli generalni odbierania sygnałów poza wejściem antenowym. One miały za zadanie przetworzenie sygnału z anteny i wysłanie na SCART lub AV. Prawdopodobnie w złączu SCART nie jest wykorzystany pin wejście AV.

    SAT Serwis   02 Cze 2009 19:12 Odpowiedzi: 19    Wyświetleń: 5974
  • Magnetowid jako modulator.

    A drugi magnetowid wykrywa Ci sygnał z anteny? Czy II magnetowid ma płynne wyszukiwanie częstotliwości? Jeśli obie odpowiedzi brzmią - tak - a I magnetowid jest widziany przez TV poprzez wejście antenowe - nie ma bolca - to musi działać. Coś źle robisz. Tak się zastanowiam - jeżeli TV odbiera po w.cz. sygnał z I magnetowidu, to znaczy że na wyjściu...

    RTV Użytkowy   05 Paź 2010 18:27 Odpowiedzi: 14    Wyświetleń: 3415
  • Magnetowid Sony E30 modulator - Przejściówka CVBS na RF

    Ustalmy kilka faktów. Nie rozumiesz w ogóle tematu który poruszyłeś na elektrodzie. Ta głowica jest urządzeniem 3w1. A dokładniej jest to 1)tuner vhf-1 vhf-3 i uhf z 2)pośrednią czyli demodulatorem obrazu i dźwięku stacji telewizyjnej z anteny po dostrojeniu magnetowidu do żądanej stacji analogowej. Taki sygnał jest dostępny np jako audio out i video...

    DVD/VCR/Kamery/BD Serwis   24 Lut 2014 00:43 Odpowiedzi: 9    Wyświetleń: 4383
  • wzmacniacz rf (w.cz) do modulator- schemat

    z tego tyle mniejwiecej wycisniesz.pamietaj to nadajnik tv,a nie fm.w nadajniku tv stopnie pracuja na okolo 40% calej wydajnosci.wiec z mala antena nie poleci dalej jak kilkadziesiat metrow.

    Radiotechnika Serwis   10 Maj 2006 17:04 Odpowiedzi: 4    Wyświetleń: 3714
  • Nadawanie TV, modulator RF. Gotowe modulatory.

    W sklepach są gotowe moduły modulatorów. Wystarczy podłączyć zasilanie , sygnał z s-video (np. z karty graf) i fonie. Modulator można pozyskać również ze sprzętu el. np wideo czy , tuner sat. Sygnał na wyjściu modulatora można podłączyć bezpośrednio do tv (gniazdo antenowe) lub wzmocnić sygnał wzmacniaczem w.cz kl.A i podać do anteny nadawczej.

    Projektowanie Układów   10 Maj 2008 14:12 Odpowiedzi: 9    Wyświetleń: 2194
  • Zastosowanie modulatora, jakie filtry i sumatory kupić?

    Witam Chciałem uzyskać pomoc od Was jaki rodzaj filtrów i sumatorów kupić dla przedstawionego połaczenia. Otóż sytuacja wygląda następująco. W pokoju nr 1 jest TV1 i tuner a w pokoju nr 2 TV2. Przewód do anteny satelitarnej biegnie przez pokój nr 2. Chciałem go wykorzystać do przesłania sygnału audio/video na mniejszy TV2, wykorzystując modulator. Nie...

    Radiotechnika Początkujący   28 Lip 2009 21:38 Odpowiedzi: 2    Wyświetleń: 1631
  • Sumator sygnału z 8 modulatorow - co wybrać ?

    Hej ! Z tłumieniem to wiem o co chodzi, głownie chodzi mi o to czy sumator i spliter to to samo. Myslałem ze sa specjalne sumatory do sumowania sygnałow. Modulator bedzie zastosowany MAXIMUM 3000 UHF ( 3szt ) Dalej bedą 3 dekodery philipsa DSB 6010, maja one modulatory wbudowane. Tylko teraz nie wiem czy sygnały wychodzace DSB6010 i MAXIMUM 3000 UHF...

    SAT Serwis   30 Paź 2009 14:01 Odpowiedzi: 11    Wyświetleń: 3209
  • Antena do 40 zł w malej wsi zeby odbieralo w miare ok

    Jeśli masz blaszany dach to odpada. A twój kolega łapie na pewno z jakiegoś urwanego kabla od kablówki bądź anteny zsumowanej z kablówką przez magnetowid z modulatorem. Różne są opcje.

    Instalacje antenowe archiwum   23 Paź 2010 17:40 Odpowiedzi: 12    Wyświetleń: 2246
  • Instalacja RTV-SAT + 6 modulatorów.

    Witam. Znajomy poprosił mnie o pomoc w wykonaniu instalacji dla nowego domu jednorodzinnego. Poniżej schemat co chciałbym uzyskać. Proszę znawców o sprawdzenie projektu i sugestie części jakich powinienem użyć. W sumie instalacja ma być zrobiona po niskim budżecie więc proszę to uwzględnić przy sugerowaniu części. Uwagi do projektu: 1. Rejestrator posiada...

    Instalacje antenowe archiwum   21 Lut 2011 16:21 Odpowiedzi: 20    Wyświetleń: 3330
  • Dekoder tv cyfr. naziemnej, a zasilacz anteny

    One nie mają modulatora a tylko przelotkę. Jak nie masz wejść Cinch to pozostaje dokupienie modulatora.

    RTV Użytkowy   11 Gru 2011 19:30 Odpowiedzi: 21    Wyświetleń: 36004
  • Dvb-t/ kamera - Tuner dvb-t + modulator + kamera judasz

    Nie posiadam wiedzy nt. jaki jest sygnał z anteny który dociera do tunera, a jaki jest sygnał z modulatora. To co kolega andpol 33 proponuje to jest chyba odpowiedź na moje pytanie. Pozdrawiam. Jeśli macie jakiś pomysł jak najtańszym kosztem zrealizować monitoring z kamery judasz zamontowanej w drzwiach bezprzewodowo (zapis sygnału i możliwość oglądania...

    DVB-T Technika i Serwis   03 Kwi 2013 07:33 Odpowiedzi: 4    Wyświetleń: 2688
  • Jakiego modulatora użyć do starego telewizora.

    Witam Posiadam czarno-biały telewizor Philipsa z lat 70. Jako że poprzez zwykłą antenę żadnego sygnału on nie odbierze, to chciałem podłączyć go do dekodera, ale że mój telewizor posiada tylko wejście antenowe, to z tego co wiem trzeba użyć modulatora. I tu mam do Was pytanie jakie mają być jego parametry. Dołączam zdjęcie programatora kanałów, może...

    RTV Co kupić?   10 Lip 2017 19:22 Odpowiedzi: 24    Wyświetleń: 2664
  • Modulator z magnetowidu + nadawanie sygnału na ok 50m

    Witam. Chciałbym nadać obraz z domu do altanki (ok. 30-50m) przy użyciu dość starego komputera i modulatora. Komputer (karta graficzna) posiada wyjście cinch video composite (CVBS), i wszystko działa poprawnie przy podłączeniu bezpośrednim. Problem zaczyna się kiedy ja chcę ten obraz nadać w linii "prawie prostej". No właśnie - i nie mam tu rozwiązania....

    RTV Użytkowy   10 Sie 2019 09:10 Odpowiedzi: 4    Wyświetleń: 372
  • Antena New Alaska. Dragon pro-200n a zasięg.

    nadal nie wiem czy kupić gruszkę do ręczniaka (jeśli są dobrej jakości z echem i jakimś wzmocnieniem) czy lepiej zabudować nowe radio? Pozdrawiam. Echo jest uważane za niepotrzebne i gawiedziańskie. Ja mówiłem o kompresji, takiej nie natrętnej a skutecznej (jest tu dyskutowane, poszukaj 'kompresja'). Dobrej kompresji nie słychac jako kompresji (ściśnietego...

    Radiotechnika Serwis   27 Lis 2006 10:49 Odpowiedzi: 9    Wyświetleń: 3321
  • Przejściówka scart --> antena.

    Dołącz jak sugerowałem kondensator na wyjściu LM 7805 ( 3 ) 470uF , możesz też mieć nieodpowiedni poziom sygnału video na wejściu modulatora. Za mały prawdopodobnie, trzeba by dobudować wzmacniacz wejściowy.

    Początkujący Serwisanci   29 Paź 2007 21:48 Odpowiedzi: 24    Wyświetleń: 7499
  • Monitoring - kamera -> modulator -> tv - jak podłączyć

    Witam totalny błąd w myśleniu. Kamera daje sygnał m.cz, a co za tym idzie nie można w żaden sposób podłaczyć bezpośrednio do gniazda antenowego. Jest tak: 1. odwróć modulator "na plecy" szpilki bedą opisane jeśli nie, to skąd wyjąłeś , tam popatrz. 2. Zasilanie to z reguły 5V ale były również 12V 3. sygnał z kamery podłaczysz do bolca oznaczonego V...

    DVD/VCR/Kamery Początkujący   03 Lut 2010 11:58 Odpowiedzi: 4    Wyświetleń: 16194
  • Junost 402BC - Jak podłączyć modulator?

    Modulator pokazany w wpisie #1 jak najbardziej nada się, ale ... "diabeł tkwi w szczegółach", chyba tak się to mówi ... Ten modulator można ustawić na dowolny kanał "nadawania" - tak jest wg opisu tej aukcji. I teraz, jeśli kolega zdecyduje się na kanał nadawania z przedziału kanałów 1-12 to należy użyć górne gniazdo antenowe VHF, tam gdzie teraz jest...

    Połączenia urządzeń   14 Gru 2017 01:53 Odpowiedzi: 9    Wyświetleń: 858
  • VCR LG BD280P - brak odbioru z modulatora

    Wiadomo ze jedno zasilanie jest na stale zeby bylo przejscie dla anteny a drugie zalaczane.Ale oczywiscie jego nie bedzie dopoki w opcjach sie nie wlaczy.A jezeli bylby test to nie byloby mojego pytania.Pozdrawiam Dodam ze przez Euro wszystko dziala i menu nie ma opcji wlaczania modulatora.Podejrzewam ze wlacza sie to kombinacja jakichs przyciskow

    DVD/VCR/Kamery/BD Serwis   25 Lut 2006 10:15 Odpowiedzi: 2    Wyświetleń: 990
  • jak podłączyć mini wieżę do zbiorczej anteny

    Przeniosłem ją obok gniazda antenowego telewizji zbiorczej, podłączyłem zwykłym przewodem antenowym (taki jak stosuje się w kablówce od kilkunastu lat) i radio nie działa. Tzn. szumi. Jak napisał poprzednik, koniecznie musisz się dowiedzieć czy w instalacji "zbiorczej" jest sygnał TV i R . Popytaj w Administracji lub u Zarządcy . Podpowiem, że w instalacjach...

    Instalacje antenowe archiwum   22 Maj 2010 12:20 Odpowiedzi: 2    Wyświetleń: 4214
  • antena dvb - dobór urządzeń i anteny do lokalizacji

    niestety do każdego TV mam pociągnięty 1 przewód koncentryczny z skrzynki na strychu gdzie spotykają się wszystkie kable, w dwóch przypadkach gdzie chciałbym aby były tunery nc+, oczywiście tunery znajdują się przy odbiornikach TV, czyli tu tez raczej jakieś rozdzielacze musiałbym zastosować. Trochę to komplikuje sprawę, najmniej inwazyjną metodą byłoby...

    Instalacje antenowe archiwum   10 Kwi 2013 16:00 Odpowiedzi: 7    Wyświetleń: 2370
  • Johny III cisza po urwaniu anteny

    Jesli s-metr na nadawaniu wyświetla az do czerwonej diody to nadawanie raczej sprawne. Ewentualnie gdybyś na nadawaniu nie miał modulacji to sprawdź kabel mikrofonowy na początek, ale może też być uszkodzenie końcówki m.cz. poniewaz końcówka m.cz na odbiorze podaje sygnał do głośnika, a na nadawaniu działa jako modulator- mam tu oczywiście na myśli...

    CB Radio   13 Sie 2013 14:14 Odpowiedzi: 4    Wyświetleń: 1260
  • Modulator Mt-4, mała czułość wejścia antenowego RF.

    Przeróbki modulatora nie zrobisz - taka jego uroda. W specyfikacji technicznej pisze, że wzmocnienie pomiędzy TV i ANT : -2dB. Zwróć uwagę, że jest to MINUS 2 dB, czyli przelot tłumi sygnał o 2 dB. Przed modulatorem zastosuj niewielki wzmacniacz np. taki: . Ma on regulację wzmocnienia, ale bez przesady, ustawiaj na minimalne konieczne do uzyskania dobrego...

    RTV Użytkowy   10 Lis 2010 23:23 Odpowiedzi: 2    Wyświetleń: 1881
  • Radio fabryczne Saaba 900 i modulator fm - przestał działać

    Witam, mam w moim Saabie zrobione wejście do odtwarzacza mp3 poprzez modulator fm (taki jak na obrazku), które jest podłączony do radia fabrycznego (tak jak na schemacie), jest zakodowany na 87,7 fm Otóż mój problem wygląda następująco: niedawno pierwszy raz stało się tak, że muzyka z odtwarzacza podłączonego do tego modulatora przestała grać ale po...

    Radia Samochodowe Początkujący   21 Lis 2010 18:47 Odpowiedzi: 3    Wyświetleń: 2210
  • Modulator TV MT-47 TERRA - brak sygnału zmodulowanego video

    Witam Mam problem z owym modulatorem. Za pomocą tego modulatora mam wpuszczony sygnał z rejestratora CCTV w instalację antenową zbudowaną w oparciu o Multiswitch Terra. Przez jakiś czas wszystko ładnie działało - podgląd z kamer i telewizja naziemna bez problemu funkcjonowały jednocześnie. Jakiś czas temu podgląd z kamer nagle zniknął. Nie pomogła wymiana...

    Instalacje antenowe archiwum   23 Lut 2012 12:00 Odpowiedzi: 2    Wyświetleń: 2232
  • Opticum x403 + modulator+TV

    Antenę z sygnałem podłączasz do dekodera do RF-IN , Jeżeli ten sygnał jest potrzebny do analogowego odbioru na innych urządzeniach to kolejnym kablem z dekodera RF-OUT podłączasz do modulatora RF-IN a odbiornik telewizyjny możesz podłączyć do RF-OUT w modulatorze. Z modulatora możesz rozprowadzić sygnał do innych odbiorników. Jeżeli masz połączony kablem...

    Połączenia urządzeń   09 Lis 2011 17:26 Odpowiedzi: 5    Wyświetleń: 3171
  • jak połaczyć dekoder z modulatorem do starego tv

    Witam! ponieważ dziadkowie mają stary telewizor, z wejściem tylko na antenę, kupiłam dekoder z wbudowanym modulatorem Strong SRT 8106 i połączyłam go kablem antenowym z tv. Do dekodera podłączyłam antenę - i ...nic. Nie mogę znaleźć w tv kanału współpracy z dekoderem, na kanale 38 nic sie nie pojawia, więc na dekoderze nic nie mogę ustawić, wykonać...

    RTV Co kupić?   09 Lut 2013 23:22 Odpowiedzi: 6    Wyświetleń: 2514
  • Antena satelitarna z wykorzystaniem fotoniki.

    Antena pracuje na standardowej częstotliwości radiowej 10,7-12,75GHz sygnał ten transformowany jest do fotoniki (nie napisali jak - np moduluje podczerwień) potem w torach optycznych - jest opóźniany (poprzez modulatory MZI i linie opóźniające ) w stopniu zależnym od kąta padania wiązki radiowej na poszczególne sekcje anteny a następnie sygnały optyczne...

    Artykuły   18 Kwi 2013 18:49 Odpowiedzi: 2    Wyświetleń: 11475
  • Grafika z TVot i telewizor przez modulator

    Modulator z video jest wydzieloną "puszką", ma podstawowe wyprowadzenia: audio in, video in, +12V, masa na obudowie + czasami kilka innych. Odrębną kwestią jest w jaki sposób podepniesz się do tej instalacji antenowej nie powodując zakłóceń w odbiorze tv. Poza tym dużo zależy od tego, jaka to jest instalacja antenowa - jeżeli na dachu masz zwykłą antenę...

    Komputery Hardware   01 Kwi 2003 12:19 Odpowiedzi: 1    Wyświetleń: 1293
  • Antena szerokopasmowa i odbiór z satality

    hej jeżeli chodzi o czestotliwości to masz całkowitą rację, głowica nie będzie odbierac sygnału z konwertera 950-1750, ale tak jak napisałem w momencie wykorzystania modulatora w tunerze i odbiór sygnału poprzez głowice kanałów w telewizorze (jest tam generator do wytworzenia czestotliwości pośredniej) częsć sygnału w postaci zakłuceń trafia do anteny...

    SAT Technika Satelitarna   18 Kwi 2003 21:01 Odpowiedzi: 11    Wyświetleń: 4871
  • Mininadajnik TV na modulatorze od tunera satelitarnego

    Zależy jaki chcesz uzyskać zasięg. Myślę, że lepiej rozwiązać to przy pomocy modulatora z kablówki. Często sprzedają takie na allegro. Modulatory są jednowstęgowe i w zespole ze wzmacniaczem kanałowym i anteną pogoni parę km. Kiedyś z tym eksperymentowałem chodzi ok a koszt niewielki.Pozdrawiam Janek

    Radiotechnika Serwis   15 Lis 2003 00:12 Odpowiedzi: 6    Wyświetleń: 3559
  • 1 antena ->2 TV-Można tak?Pogorszy sie obraz?

    A czy istnieje rozgałęźnik na np. 4 telewizory ? polecam świetną lekturę wszystkim co chcą rozgałęziać sygnał: ps W czasach gdy nie było u nas profesjonalnie wykonanych wzmacniaczy rozgałęziających, do budowy małych instalacji zbiorczych wykorzystywałem tzw modulatory z magnetowidów. Taki modulator już ma dwa wyjścia i dodatkowo wejście AV, gdzie można...

    Radiotechnika Serwis   21 Sie 2004 19:04 Odpowiedzi: 26    Wyświetleń: 4611
  • 2 anteny 2 TV i jedno pytanie JAK?

    Wstaw wzmacniacz alcad-a CA 215 ma wyjścia dwa odbiorniki i niezalezną regulację w dwóch pasmach. Ja popycham nim sieć w domu na sześć gniazd, przed wejściem domieszalem jeszcze modulator z sygnałem C+ a jak tuner C+ jest wyłaczony to na modulator przedostaje się sygnał z DVD. siec w domu pochłoneła prawi 150 mb kabla ( sat), gniazda na jednej kondygnacji...

    Radiotechnika Serwis   19 Sie 2004 23:06 Odpowiedzi: 8    Wyświetleń: 2148
  • schemat najprostszego nadajnika TV bez modulatora

    Hmm, możesz spróbować zamiast potencjometru w emiterze dać zwykły opór stały, a na bazę podać przez kondensator kilka piko sygnał. Proponuję też użyć mocniejszego tranzystora - BF199 ma trochę małą moc. Pozatym też trzeba dobrać obwód LC w kolektorze na odp czestotliwość i oczywiście długość anteny. Jak to niektórzy mawiają - najlepszym wzmacniaczem...

    Radiotechnika Serwis   02 Lis 2004 22:36 Odpowiedzi: 15    Wyświetleń: 4443
  • Modulator RUS736I ICBL - stary magnetowid Samsung

    Wyciągnąłem ze starego magnetowidu modulator o symbolu RUS736i ICBL i chciałbym wykorzystać go do przesyłania obrazu z komputera do TV przez antenę... Nigdzie nie mogłem znaleźć wyprowadzeń tego modulatora i oczywiście nie są opisane. Ma on 5 wejść z czego 4 są blisko siebie a jeden oddalony... Wygląda to mniej więcej tak: 1- xxxx 2- xxxx 3- xxxx 4-...

    DVD/VCR/Kamery/BD Serwis   25 Lis 2004 15:00 Odpowiedzi: 4    Wyświetleń: 2676
  • Tuner z modułami dostępu. Charakterystyka, FTA, modulator UHF, we z przelotką.

    Tak po prostu: 1 i 2 - Tuner FTA jak sama nazwa wskazuje (Free To Air) słuzy do odbioru programów niekodowanych. Nie posiada on modułów dostępu warunkowego i nie jest przystosowany do ich zamontowania. Natomiast tunery z modułami dostępu lub gniazdem na nie, pozwalają na odbiór programów kodowanych po umieszczeniu karty operatora w gnieździe tego modułu....

    SAT Serwis   19 Gru 2004 20:50 Odpowiedzi: 5    Wyświetleń: 1596
  • RF modulator

    Właśnie podłączyłem sobie modulator , który wymontowałem ze starego tunera SAT pod stabilizator jak na schemacie poniżej i zrobiłem sobie nadajniczek AUDIO-VIDEO :D Teraz tylko zostaje połączyć ten modulator kablem koncentrycznym z drugim podobnym do niego , który znajduje się u kolegi w budynku obok. W sumie to wolałbym żeby nadawanie i odbieranie...

    Początkujący Serwisanci   09 Maj 2005 19:57 Odpowiedzi: 11    Wyświetleń: 8679
  • VCR THOMSON VTH 6080-modulator

    Najprościej odnaleźć jak się od magnetowidu odłączy antenę (z wej antenowego) a na magnetowidzie włączy jakąś kasetę. Ja mam model VP4480. jak przestroiłem ? Nacisnąć i przytrrzymać przycisk menu aż oznaczenie sys ukaże się na wyświetlaczu. Używając przycisku AV wybrać sys 2 dla BG lub sys3 dla DK (kablówka jest na sys2) Nacisnąć confirm aby potwierdzić....

    DVD/VCR/Kamery/BD Serwis   03 Lip 2005 13:28 Odpowiedzi: 6    Wyświetleń: 1407
  • VW Caddy- radio VW- modulator FM do zmieniarki Pioneer HELP!

    Witam! Mam ogromna prosba!. Mam VW Caddy nowego z orginalnym radiem VW. Posiadam rowniez zmieniarke Pioneera i sterownik do tej zmieniarki ale bez modulatora fal FM. Nie mam mozliwosci "grzebania" w radiu gdyz jest to samochod firmowy i wszystko mam robic w serwisie - co niesie za soba koszty. Jaki modulator bedzie odpowiedni do sprzetu Pioneera/ nie...

    Radia Samochodowe Serwis   04 Wrz 2005 19:53 Odpowiedzi: 2    Wyświetleń: 1736
  • Nagrywarka DVD Philips DVDR75 - modulator?

    Czy ten model ma modulator UHF czy jest to tylko przelotka antenowa? Bo nie widze aby generowal sygnal na wyjsciu antenowym, jest tylko to co na wejsciu z anteny naziemnej. To jak ten model ma? Adam

    DVD/VCR/Kamery/BD Serwis   28 Mar 2008 14:47 Odpowiedzi: 2    Wyświetleń: 1139
  • Śnieży na kanałach cyf. po podłączeniu anteny siatkowej

    Witam Dodam - jeżeli nie uda się Tobie znaleźć "wolnego miejsca" dla kanału modulatora - o ile programy z "siatki" są wszystki czyste - dobieraj tłumiki i max obniż poziom sygnału z anteny siatkowej - możliwe, że osiągniesz taki punkt, gdzie obraz będzie jeszcze czysty i nie zakłóci pracy kanału modulatora. Powodzenia

    SAT Serwis   23 Mar 2006 07:41 Odpowiedzi: 5    Wyświetleń: 1565
  • modulator z magnetowidu jak mini nadajnik?

    Jeżeli masz miernik to wystarczy jak sprawdzisz w magnetowidzie gdzie jest masa (na 99%) będzie na obudowie, + zasilania i ile to jest volt, będzie to na podlączeniu do magnetowidu. Magnetowid wystawia jeszcze jedno napięcie kiedy występuje odtwarzanie. Wtedy na wyjściu do telewizora pojawia się sygnał z magnetowidu a ten idący z anteny do telewizora...

    Radiotechnika Serwis   04 Maj 2006 22:40 Odpowiedzi: 8    Wyświetleń: 5207
  • Tuner z modulatorem - pytanie

    Witam kupilem wczoraj tuner z modulatorem Ferguson AF3318SE na on z tylu wyjscia RF Out gdzie podlaczam tuner kablem antenowym do tv a w RF In wpinam kabel od anteny szerokopasmowej. Myslalem ze przy zwyklym wyszukiwaniu znajde wszystkie programy z naziemnej i z satelity ale tak nie jest... wogole nie moge znalesc programow z satelity. nie mowie o euro...

    Początkujący Elektronicy   27 Maj 2006 20:46 Odpowiedzi: 3    Wyświetleń: 1410
  • Modulator z analoga: podłączanie kamery do telewizora

    czy dobrze kombinuje-wylutuje modulator, podłącze zasilacz 12v, sygnał z kamery na AV, sygnał z a anteny zewnętrznej na ant no i wyjście na telewizor, pewnie modulator ma jeszcze wejścia audio ale mi nie sa chyba potrzebne? modulator mam w tunerze Comsat mini

    SAT Serwis   15 Cze 2006 17:52 Odpowiedzi: 2    Wyświetleń: 1253
  • Antena sat, dwa pionowe pasy na kanale satelity.

    Cześć . Może modulator tunera jest włączony w tryb strojenia telewizora ("obraz kontrolny" tunera) ? Zobacz z tyłu tunera, gdzieś w okolicy kabla łączącego tuner z telewizorem. Powinien być malutki przełącznik na modulatorze .

    SAT Serwis   13 Wrz 2006 11:20 Odpowiedzi: 5    Wyświetleń: 1119
  • Przejściówka cinch -> antena ...?

    Spotkałem kiedyś przystawkę modulator stosowaną do tunerów satelitarnych bez modulatora cena była dość przystępna.

    Inne Co kupić?   11 Cze 2007 19:20 Odpowiedzi: 1    Wyświetleń: 1233
  • Modulator z pegazusa. Czy można tak przerobić? Schemat.

    Nie musi to być modulator z pegazusa, może być np z magnetowidu, albo satke podłączyć do magnetowidu przez av a magnetowid przez antenę do tv. Pozdrawiam Adam

    Początkujący Serwisanci   17 Lip 2007 05:40 Odpowiedzi: 2    Wyświetleń: 1231
  • DO połączenia koncentryka z modulatora i sumatora

    poszukuje coś profesjonalnego i taniego Albo jedno, albo drugie... dotychczas używałem rozdzielacza i sygnał z modulatora był beznadziejny. Zapewne modulator TV za 40...240zł, czyli dwuwstęgowy, a w praktyce "wielowstęgowy" (śmieci)... Do tego połączenie "na choinkę" (tanio...) Jeżeli już, to zwrotnica na kanał wydzielony (z modulatora) + reszta pasma....

    Radiotechnika Serwis   08 Sie 2007 12:41 Odpowiedzi: 2    Wyświetleń: 1098
  • modulator+polsat cyforwy+karta telewizyjna - jak to polaczyc

    Tak. Ten sam kanał. Jak chceszmniezależnie, to 2 tunery, 2 abonamenty i konwerter twin na antenie.

    SAT Serwis   08 Lut 2008 14:23 Odpowiedzi: 25    Wyświetleń: 9039
  • połączenie sygnały tunera polsat z anteną

    Jaki masz tuner Polsatowski - z modulatorem? jeżeli tak ustaw na nim wolny kanał - czyli taki, który nie zakłóci się z żadnym kanałem w eterze - najprościej włącz strojenie w telewizorze przy podłączonej antenie zewnetrznej i szukaj kanału z "czystym szumem" Zsumujesz sygnał rozgałęźnikiem 1/2 - dużo zależy od poziomu sygnału z anteny naziemnej (potrzebny...

    SAT Serwis   04 Gru 2007 11:29 Odpowiedzi: 6    Wyświetleń: 1173
  • Dodanie kanału do anteny zbiorczej

    Za te pieniądze kupisz bardzo dużo modulatorów. Modulator to koszt 50-100 zł. Czasem nawet mniej. Jeśli chcesz dodać jakiś kanał z Cyfry+, to na jeden kanał potrzebujesz jeden modulator i jeden tuner. Czyli kupujesz tuner do Cyfry+, podpisujesz umowę i płacisz za pakiet, w którym jest porządany kanał, podłączasz tuner do modulatora i masz ten kanał...

    SAT Serwis   22 Gru 2007 22:36 Odpowiedzi: 6    Wyświetleń: 3381
  • SAT + kablówka + modulatory - projekt instalacji

    Pewnie było by to najlepsze rozwiązanie:) Niestety instalacja jest już położona i zatynkowana - 8 gniazdek. Wszystkie kable doprowadzone do skrzynki. W instalacji będą jeszcze dwa modulatory AV które chciałem puścić wspólnie z kablówką do multiswitch-a na wejście TV :P Dodano po 1 Zrobiłem projekt instalacji... (przerobiony schemat z dipol.com.pl):...

    SAT Technika Satelitarna   05 Sie 2008 10:52 Odpowiedzi: 10    Wyświetleń: 4904
  • Cyfrowy Polsat na wieżowiec: tuner + modulator to nowe rozwi

    Witam, ostatnio w wieżowcu na wybrzeżu miałem okazję podpatrzyć nowe rozwiązanie: jednego tunera Cyfrowego Polsatu na abonentów całego wieżowca- przynajmniej tak to wyglądało, bo pracujący konserwator nie miał czasu porozmawiać. W szafce mieścił się tylko tuner: Samsung DSB-5305G i modulator AVM 1-02 AXNG z zasilaczem. Do tunera przychodził sygnał z...

    SAT Technika Satelitarna   21 Gru 2008 00:43 Odpowiedzi: 20    Wyświetleń: 4776
  • modulator rf 3000 a instalacja na 4 tv

    Podłączyć możesz potrzebuje 2 modulatorów ( pamiętaj aby kanały ustawione na modulatorach było przyajmniej o 1 kanał wyżej/niżej niż ten na drugim modulatorze i kanały z anteny TV ) a nastepnie rozgałęźnik aktywny . Oczywicie na wszytskich TV ogladasz to co jest obecnie puszczone z DVD i kanał który masz ustawiony na tunerze. Pozdrawiam

    SAT Serwis   07 Cze 2009 17:18 Odpowiedzi: 5    Wyświetleń: 2064
  • Jak przerobić AV na antenę

    Mam grę pegasus.Posiada ona wyjście AV (chinch).Chciałbym ją podłączyć do telewizorka który ma jedynie wejście antenowe.Prawdopodobnie można to zrobić poprzez modulator. W związku z tym mam pytanie.Czy taki modulator wyjęty z magnetowidu się nada do tego celu? Jeżeli jest dobry to jak to zrobić.

    Konsole Serwis   11 Cze 2009 12:30 Odpowiedzi: 3    Wyświetleń: 3422
  • Do czego służy modulator MD-5 ?

    "Modulator przeznaczony jest do rozprowadzenia poprzez instalację antenową sygnałów audio - video pochodzących z różnych źródeł zmodulowany sygnał z tego urządzenia może być odbierany przez każdy standardowy odbiornik telewizyjny przez gniazdo antenowe" "Zmodulowany"?? Po co to si w ogóle robi?? Czy za jego pomocą mam możliwość rozdzielenia sygnału...

    SAT Szukam   05 Wrz 2009 14:29 Odpowiedzi: 7    Wyświetleń: 6924
  • Modulator RF 3000-jak podłączyć do instalacji antenowej?

    Rozgałęźnik niestety zwarł napięcie zasilające antenę do masy (transformator dopasowujący). Ostatecznie wpiąłem modulator poprzez C=82pF bezpośrednio przed ostatnim gniazdem. Kondensator (wartość wybrana intuicyjnie-zapobiega zwarciu napięcia anteny poprzez modulator i zapewnia "jakieś tam" dopasowanie. Całość działa bez zarzutu. To temacik Zamykamy...

    Instalacje antenowe archiwum   30 Gru 2009 14:12 Odpowiedzi: 12    Wyświetleń: 5870
  • FM modulator/transmiter/adapter - najprostszy, który wybrać.

    Witam, poszukuję FM modulatora - ale: - bez żadnych dodatków, tylko podłączający zewnętrzne źródło dźwięku (chinch/jack), - podłączany bezpośrednio do gniazda antenowego (najlepiej z przełącznikiem: antena samochodowa / zewnętrzne źródło), - przenoszący pełne pasmo (nie obcinający wysokich/niskich tonów), - z pełnym stereo (mam instalację Dolby Pro-logic,...

    CarAudio Co kupić?   12 Lut 2010 10:28 Odpowiedzi: 0    Wyświetleń: 1800
  • instalacja z modulatorami TV

    Witam ponownie, trochę to trwało bo były inne zajęcia na obiekcie. Mam dołączony wreszcie modulator.Musiałem wykorzystać kanały: K29,K32,K35,K38 ponieważ na kanałach kablowych odbiorniki w ogóle nie widzą sygnału.(Wtedy nie miałem miernika).W instrukcji modulatora jest uwaga że preferowane pasmo dla tego modulatora to UHF! Sygnał z modulatora stłumiony...

    Instalacje antenowe archiwum   15 Sty 2011 22:40 Odpowiedzi: 30    Wyświetleń: 8508
  • pomoc w doborze anteny i instalacji tv 4 tv Babice k/Wa-wy

    Czasami zajmuję się montażem anten tv (jedna z mich działalności zawodowych) i ok roku temu montowałem zestaw antenowy w Izabelinie a więc niedaleko Twojego położenia.drugi taki w Hornówku tj kilka km za Izabelinem .W Izabelinie antena Yagi 20 elementowa była nakierowana na PKiN -to był zestaw anten gdyż ta długa Yagi była na zakres 21-60Kan natomiast...

    Instalacje antenowe archiwum   08 Lut 2011 14:36 Odpowiedzi: 13    Wyświetleń: 3398
  • Obraz z modulatora śnieży

    Witam. Piszę , ponieważ mam problem z modulatorem, mianowicie mam podłączony konwerter quad pod sumator i od niego do gniazdek. Do sumatora mam podłączony modulator , ponieważ chcę mieć sygnał z dvd i kamery w każdym tv , pomiędzy modulatorem a sumatorem mam tłumik i pytanie moje brzmi dlaczego obraz z dvd śnieży?? (Modulator mam na 34 kanale , nie...

    Instalacje antenowe archiwum   04 Kwi 2011 21:04 Odpowiedzi: 8    Wyświetleń: 2119
  • Modulator tv do czego służy to wejście?

    To jest modulator z magnetowidu tym WYJŚCIEM idzie sygnał z anteny do głowicy odbiorczej.

    DVD/VCR/Kamery Początkujący   14 Sie 2011 14:18 Odpowiedzi: 2    Wyświetleń: 2110
  • Gdzie w instalację wpiąć modulator Audio-Video

    Witam. Mam taki modulator jak na obrazku. Chcę podłączyć do niego obraz z rejestratora DVR kablem koncentrycznym i puścić dalej w moją instalację gdzie są podłączone anteny telewizji naziemnej, tak abym ten sygnał z rejestratora mógł zakodować na którymś programie mojego telewizora. Moje pytanie brzmi : Czy mogę podłączyć modulator do byle którego gniazda...

    Połączenia urządzeń   14 Wrz 2011 15:21 Odpowiedzi: 3    Wyświetleń: 1741
  • Instalacja antenowa w bloku - modulator

    Ale myślę że sprawa leży w samym wzmocnieniu modulator mixpol ... oraz nao22 napisał : podłączyłem modulator oraz antenę naziemną do wejścia UHF1 ustawiłem wzmocnienie na kanały K24 oraz k41 na UHF1 wynik-> przesterowania na kanałach TVP1 oraz TVP2 Czy nie należałoby pomyśleć o tłumikach na wyjściu modulatora jeżeli podłączamy do jednego wzmacniacza...

    Instalacje antenowe archiwum   04 Gru 2011 13:53 Odpowiedzi: 17    Wyświetleń: 4470
  • Modulator Mixpol MDP-6s jak podłączyć ?

    Cześć. Po dzisiejszych walkach skończyłem co chciałem :) Dzięki Waszej wiedzy i metodzie prób i błędów udało się wpuścić sygnał z modulatora już w istniejącą instalacje. Bardzo dziękuje za pomoc :) Odnośnie pomysłu użycia odgałęźnika lub rozgałęźnika mi nie udało się tak zrobić, sygnał był ale jakość sygnału gorsza, możliwe że to kwestia wcześniej nie...

    Instalacje antenowe archiwum   05 Lis 2011 19:29 Odpowiedzi: 8    Wyświetleń: 3809
  • Podłączenie modulatora RF - schemat wyjść i wejść

    Ten tuner DVB-T nie ma modulatora RF; to co odbiera TV to analogowe sygnały telewizyjne, które przechodzą z anteny

    Połączenia urządzeń   08 Lis 2012 10:24 Odpowiedzi: 9    Wyświetleń: 8634
  • Antena naziemna + Antena Radiowa oraz zwrotnica i do multiswitcha.

    Więc tak, Satelita, antena naziemna kierunkowa, antena radiowa w kształcie druta, wszystko złączone razem i wszystkie sygnały w jednym na około 19 maksymalnie 22 gniazd abonenckich do tego systemu ma być wpięty system monitoringu i ma być 11 kanałów w TV każdy osobno. Wiem że muszę zrobić to tak jak jest na rys. 1 poniżej: Jeszcze jedno pytanie z innej...

    Instalacje antenowe archiwum   26 Lis 2012 22:24 Odpowiedzi: 14    Wyświetleń: 4746
  • Podłączenie modulatora RF4000UHF do multiswitcha SPU 98-03

    MOże ktoś tylko wyjaśnić dlaczego modulator jest podłaczony rozgałęźnikiem z anteną? Dlaczego nie moze byc przelotowo czyli antena pod modulator i potem w instalacje?

    RTV Użytkowy   06 Mar 2013 03:29 Odpowiedzi: 14    Wyświetleń: 4146
  • Modulator MTV 61 schemat na dwa TV

    Chodzi Ci czy zastosowałem rozgałęźnik eurozłącza? Jeżeli tak to nie. Do modulatora wprowadziłem sygnał z anteny DVB-T następnie wyprowadziłem go do Telewizora 1. Z modulatora poprzez eurozłącze wyprowadzam sygnał do Telewizora 2. Na telewizorze 1 mam obraz na 2 nie. Poniżej foto przedstawię. Potrzebuję instrukcji do tego modulatora albo jakiś schemat,...

    RTV Użytkowy   18 Cze 2013 16:41 Odpowiedzi: 15    Wyświetleń: 4143
  • kłopot z modulatorem i kamerą

    Witam Mam pewien kłopot, otóż chciałem do swojej instalacji tv podłączyć kamerę (w celu obserwacji pokoju dziecięcego za pomocą telewizora). Zaopatrzyłem się w modulator MEZON MTV32. Na początku podłączyłem się próbnie tzn. podłączyłem kamerę do modulatora i z modulatora kawałkiem kabla antenowego do telewizora - wyszukałem kanał - wszystko chodziło...

    RTV Użytkowy   07 Paź 2013 18:15 Odpowiedzi: 6    Wyświetleń: 1575
  • Panasonic NV-HD610 - Nie odtwarza przez gniazdo modulatora

    Ten VHS nie posiada modulatora,drugie gniazdo to tylko przedłużenie sygnału anteny do innego urządzenia np.tv.

    DVD/VCR/Kamery Początkujący   27 Mar 2014 14:47 Odpowiedzi: 7    Wyświetleń: 2166
  • MODULATOR TV - MODULATOR TV,sposób podpięcia.

    To sygnał z anteny przepuść przez modulator. Na oba podaj +12V tylko stabilizowane.

    DVD/VCR/Kamery Początkujący   10 Cze 2014 21:09 Odpowiedzi: 7    Wyświetleń: 4047
  • Nexus NS 500 - Czy zadziała jako modulator?

    Ten analogowy dekoder ( w zasadzie raczej tuner niż dekoder) posiada modulator. To przeczysz sam sobie. Bo jeśli autor tematu myśli, że za jego pomocą podłączy inne urządzenie do starego telewizora to się myli. Skoro to jest modulator, to w czym problem - może mi kolega to wyjaśni?. Moderatorze, do Ciebie też kieruję to pytanie. Modulator to jest i...

    Początkujący Naprawy   29 Lis 2014 12:24 Odpowiedzi: 18    Wyświetleń: 2004
  • Modulator TV MDP 8S - Modulator TV MDP 8S + tv

    1- jest wyżej napisane. 2- tak jak podaje sumator. 3- pasywnego. niestety po takim podpięciu niema obrazu z modulatora 1 kabel z anteny i modulatora połączyłem razem za pomocą zwykłej złączki Trójnik F , 3 x gniazdo później jeden koniec do AWS-144st i wyjścia trzy pod telewizor a jeden niewykorzystany założyłem Terminator F 75 ohm , kanały z modulatora...

    Połączenia urządzeń   03 Lut 2015 22:21 Odpowiedzi: 12    Wyświetleń: 984
  • Modyfikacja instalacji zbiorczej, dodanie modulatora

    Witam wszystkich, posiadam w domu sieć która wygląda jak na załączonym schemacie (kolorem pomarańczowym zaznaczyłem jak jest aktualnie). Na dniach mój dostawca Internetu ma mi dosłać dekoder IPTV który chcę podłączyć do modulatora a następnie zsumować go z sygnałem z anteny i wysłać do multiswitcha. Chciałbym aby w każdym odbiorniku z tunerem DVB-T...

    Instalacje antenowe archiwum   15 Wrz 2015 10:38 Odpowiedzi: 7    Wyświetleń: 822
  • Modulator do kamery - w którym miejscu ma być?

    To tak w skrócie... Jest wzmacniacz WWK-861 (Telmor) ma podłączone 2 anteny TV. Jak wiadomo wzmacniacz ma 3 wejścia UHF, czyli jedno jest wolne i do tego wejścia jest możliwość podłączenia kamery... Wzmacniacz daje sygnał na wyjściu (poprzez dodatkowy rozdzielacz) na 4 telewizory. Stąd pytanie - gdzie ten modulator dać? Podłączenie do jednego tylko...

    Monitoring Wizyjny CCTV   24 Paź 2015 22:52 Odpowiedzi: 7    Wyświetleń: 819
  • - Modulacje i nietypowe anteny KF

    1. FM wąskopasmowa (szerokopasmowa zresztą też) wykorzystuje 100% mocy, natomiast jak to ujęcie w swojej głowie umieszczam wśród "szkolnych", tzn to się do pewnego stopnia wyjaśnia rzeczywistość, ale potem już nie, model zjawiska jest za ubogi. To się przekłada na użyteczność, tzn SSB jest skuteczniejsza realnej sytuacji z szumami niż AM. FM jest skuteczna...

    Radiotechnika Początkujący   25 Gru 2016 23:25 Odpowiedzi: 2    Wyświetleń: 1797
  • Modulator szeregowy AM w radiu CB - pytania

    (at) zebra017 gdyby nie to PA mógłby zostać po prostu przebiegunowany (zasilony odwrotnie) w trakcie odbioru. Naturalnie pewien ułamek mocy ginie, bo CB upraszcza się do niezbędnego minimum. Od kompromisów roi się jak od pszczół i tyle samo jest do "podrasowania". Oscylogram ze zwartą diodą na oscyloskopie dwukanałowym. Układ pomiarowy jest bardzo prosty:...

    CB Radio   16 Sty 2017 11:50 Odpowiedzi: 10    Wyświetleń: 2079