REKLAMA

basic engine

Znaleziono około 193 wyników dla: basic engine
  • [Praca] Embedded Software Engineer

    Szanowni, Dla mojego klienta, amerykańskiej firmy z branży automatyki przemysłowej z siedzibą w Katowicach poszukuję osób na stanowisko Embedded Software Engineer. Zainteresowana osoby zapraszam do kontaktu za pośrednictwem forum. Bądź mailowego: krzysztof.smolinski(TUWSTAWMAŁPĘ)grafton... A dla tradycjonalistów nawet telefonicznego: +48 603 115 687...

    Automatyka Bazar   17 Paź 2018 10:21 Odpowiedzi: 0    Wyświetleń: 708
  • [Praca] Electronics Engineer - FPGA developer (Geneva, Switzerland)

    Description and application can be found: Your responsibilities: We are looking for a gateware (HDL for FPGA) developer to join the White Rabbit project, a CERN-born technology and now an industry-standard that provides sub-nanosecond synchronisation and deterministic data transfer over a distributed network. The White Rabbit Switch (WRS), is the core...

    Ogłoszenia Elektronika   18 Sie 2023 23:07 Odpowiedzi: 0    Wyświetleń: 99
  • REKLAMA
  • Windows Vista Home Basic SP2 - eksplorator Windows przestał działać

    Odinstaluj: BrowserDefender Certified Toolbar 2.1 BabylonObjectInstaller Ask Toolbar Yontoo 1.10.02 TuneUp Utilities 2013 TuneUp Utilities Language Pack (pl-PL) SpeedUpMyPC Babylon toolbar on IE MediaBar Conduit Engine DAEMON Tools Toolbar Delta toolbar Delta Chrome Toolbar IMVU Inc Toolbar MyAshampoo Toolbar Optimizer Pro v3.0 Protected Search 1.1...

    Software serwis   12 Sie 2013 15:34 Odpowiedzi: 3    Wyświetleń: 3936
  • [Praca] Intel poszukuje Linux Driver Engineer'a

    stanowisko: Linux Driver Engineer Firma: Intel Amerykański producent układów scalonych w tym: mikroprocesorów, pamięci RAM i Flash, mikrokontrolerów, układów peryferyjnych (w tym układów graficznych), a także urządzeń sieciowych. Sławę przyniosło jej wymyślenie i wyprodukowanie pierwszego mikroprocesora na świecie i4004. Obecnie firma jest największym...

    Projektowanie Bazar   29 Lis 2017 10:42 Odpowiedzi: 0    Wyświetleń: 1035
  • Stag-4 Qbox plus - błąd wtrysku paliwa, powtarzający się Check Engine. Czy to wina sterownika?

    Witam, poczytałem troszeczkę o tym sterowniku, na razie spostrzegam że chyba jest nie do końca dopracowany, np na stronach z końcówką ru i ua też są wzmianki o awaryjności tego sterownika, najciekawsze jest sama www producenta, mój program nie dopuszcza mnie do strony :) co jest nie częstym przypadkiem. Na razie walczę obserwując to ,,cudo made in Poland'',...

    Samochody Instalacje Gazowe   30 Paź 2021 20:35 Odpowiedzi: 11    Wyświetleń: 882
  • REKLAMA
  • zestaw startowy Siemens S7-1200 - czy ten będzie odpowiedni?

    Aż tak dużo wersji to nie ma :) STEP 7 Basic is the engineering system for S7-1200 STEP 7 Professional is the engineering system for all SIMATIC controller classes (S7-1500, S7-1200, S7-300, S7-400, WinAC) Dodatkowo dla WinCC Chyba "maksymalny" zestaw startowy może się składać ze Step7 Basic i WinCC Comfort. W kwestii numeru wersji polecam jak najwyższą,...

    Automatyka po godzinach   21 Sty 2020 11:49 Odpowiedzi: 22    Wyświetleń: 1548
  • koszyk na zakupy, dodawanie produktów dodawanie kategorii formularzem PHP MSQL

    Wydaje mi się że jest to ten plik na którego wskazuje strzałka na obrazku: (php ustawienia konfiguracyjne) jest to cały kod w kilku plikach: [syntax=php] [PHP] ;;;;;;;;;;;;;;;;;;; ; About php.ini ; ;;;;;;;;;;;;;;;;;;; ; PHP's initialization file, generally called php.ini, is responsible for ; configuring many of the aspects of PHP's behavior. ; PHP...

    Komputery Początkujący   07 Maj 2019 10:09 Odpowiedzi: 61    Wyświetleń: 3384
  • PHILIPS DVD723 w trakcie wgrywania nowego biosu zawiesił sie

    Obecnie po kilukrotnych kombinacjach róznych klawisz na wyświetalczu widnieje napis boot _ ' oraz silnik od ławowania tacki próbuje zamknąc tacke (która jest zamknieta) Nawet gdy recznie ją otworze to jest ona zamykana,ale dalej pracuje silnik próbując zamknąc już zamknięta tacke. Te próby sa impulsowymi co ok 0.7sek Odtwarzacz wchodzi w tryb servisowy...

    DVD/VCR/Kamery/BD Serwis   26 Paź 2003 10:44 Odpowiedzi: 9    Wyświetleń: 2992
  • Nagrywarka Philips DVDR5520H - nie działa

    Witam i moja nagrywarka ma podobne objawy.Po naciśnięciu -play wyświetla się -NUC 503.Może ktoś wie co to oznacza? NUC 503 to chyba jeszcze nie świadczy o błędzie. Zawiesza się w tym momencie? Finalnie powinien wyświetlić PASS albo FAIL z kodem błędu. /jayu Dodano po 1 Witam FAIL kod błędu 090005 Okazało się że ten błąd przy uruchamianiu to uszkodzony...

    DVD/VCR/Kamery Początkujący   12 Sie 2013 11:54 Odpowiedzi: 27    Wyświetleń: 6469
  • Philips DVDR990 - nie rozumiem

    Ja nie pisałem o napędzie tylko o głowicy i w CD będzie pracował po kręceniu natomiast w DVD bez testowej płyty nie spokałem gościa któremu się to udało. Dlaczego ? Przecież chyba chodzi o słabsze świecenie lasera ? Tzn. spada emisja podobnie jak w diodach LED. W zapisie jest "power calibration" a w odczycie nie ma i elektronika nie wie, że laser słabiej...

    Początkujący Serwisanci   07 Gru 2007 16:08 Odpowiedzi: 13    Wyświetleń: 2195
  • Bardzo prosty oscyloskop cyfrowy DSO

    Ciekawy projekt oscyloskopu opartego na procesorze STM32F103VBT6. Oscyloskop ten jest w ofercie znanego dystrybutora www.dealextreme.com w cenie około 70$. Piszę około, ponieważ są 3 wersje od około 55,30$ do 76,60$ w zależności od wersji i stanu. Sam zestaw bez sond i akumulatora, aż po komplet uruchomiony i kalibrowany. U innych dystrybutorów, cena...

    DIY Zagranica   23 Wrz 2010 09:05 Odpowiedzi: 22    Wyświetleń: 28218
  • Mazda 626 2,0 zapłon. Jak ustawia się prawidłowo zapłon?

    Ignition timing Bridge terminals GND and TEN. Engine running at specified idle speed. Check ignition timing and adjust by turning the distributor. Ignition timing - basic BTDC °Engine/rpm 12±1/700 Remove bridge, ignition timing should be 6-18° BTDC.

    Samochody Elektryka i elektronika   09 Lut 2014 07:28 Odpowiedzi: 8    Wyświetleń: 8939
  • Skoda Octavia 2 1.6 Benz/Gaz - Prośba o ocenę logów

    Witam, Czy ktoś z bardziej zaawansowanych może coś powiedzieć na temat poprawności moich logów: Sunday,16,April,2017,19:10:23:62939,VCDS Version: Release 12.12.0,Data version: 20130910 06A 906 033 NT,, BSE S71 1.6 2V 8459, ,Group A:,'001,,,,Group B:,'037,,,,Group C:,'034 ,,Engine Speed,Coolant,Lambda Control,Basic Setting,,Engine Load,Sensor Voltage,Lambda...

    Samochody Początkujący   10 Maj 2017 11:28 Odpowiedzi: 16    Wyświetleń: 1848
  • Philips DVD930

    W 02 WYSTĘPUJE "MITSUBISHI BASIC ENGINE" (CAŁY MODUŁ MECHANIZMU Z ELEKTRONIK) LASER NIE WYSTĘPUJE JAKO CZĘŚĆ ZAMIENNA .

    DVD/VCR/Kamery/BD Serwis   15 Wrz 2003 11:47 Odpowiedzi: 7    Wyświetleń: 1412
  • REKLAMA
  • Nagrywarka PHILIPS DVDR 1000/001 - nagrywa max 30 min

    witam, gg mam tylko w pracy, a disc warning wyświetla się z dwóch powodów: płyta jest uszkodzona albo laser słabnie. W większości wypadków to drugie niestety ale w twoim przypadku wszystko zależy czy ten sprzęt był na gwarancji naprawiany. Od tego jest uzależniona możliwość naprawy oraz jej koszt. Jeżeli nigdy nie był w serwisie to czeka Cię wymiana...

    DVD/VCR/Kamery/BD Serwis   08 Maj 2007 22:37 Odpowiedzi: 12    Wyświetleń: 2535
  • PHILIPS HDRW720/5 - HDD do sklonowania?

    Obawiam się, że jeżeli nie działa Ci napęd DVD, to niekoniecznie masz problem z dyskiem twardym, bo chyba philips nie ruszy jak DVD nie działa (sama nazwa "BASIC ENGINE" mówi za siebie). Jakie masz objawy "niedziałania" tej nagrywarki? Uruchamiałeś na niej tryb diagnostyczny? Może masz padnięty firmware w samym napędzie DVD? /jayu

    DVD/VCR/Kamery/BD Serwis   08 Lip 2008 17:25 Odpowiedzi: 11    Wyświetleń: 3129
  • Nissan Micra 1,2 benz. 2004r - kod silnika CR12DE a gdzie szabelka od oleju!?

    Wozaj szukalem trosze po tej stronie co podałes ale u mnie tego nie znajduje.. zapomniałem napisać ze typ dzisiaj na pierwszym przeglądzie w PL powiedział mi ze auto jest z pochodzenia z Wielkiej Brytani.. więc może źle szukamy? a może być tak że wogóle auto nie ma bagnetu? 0.o tutaj np. tego nie ma..

    Samochody Początkujący   24 Lis 2016 20:10 Odpowiedzi: 17    Wyświetleń: 5886
  • Silnik Adamsa - sprawność większa niż 100%?

    Urgon co wnioskujesz z tego tekstu: Stator construction is where most people building an Adams motor screw up. A properly engineered Adams motor requires a stator that to conventionally trained eyes, looks like an utterly horrific I2R loss inducing mess. How can such a badly designed stator possibly be used on a high efficiency motor? Well, the answer...

    Na pograniczu nauki   29 Cze 2012 08:23 Odpowiedzi: 880    Wyświetleń: 213539
  • Ilo omowe mam kupić głośniki do kolumny jeżeli mam 4 otwory?

    Coraz bardziej ambitne pytania :D Masz paczkę po kolumnach 3-drożnych. Wyliczam od dołu: 2 x głośniki niskotonowe (te same modele) 1 x głośnik średniotonowy 1 x głośnik wysokotonowy 2 x 4Ωgłośniki łączone szeregowo daje 8Ω, 2 x 8Ω równolegle da 4Ω. Jeśli łączysz dwa głośniki, to teoretycznie ich efektywność (spl a w stx-e dbspl)...

    Audio Estradowe, Sceniczne, Studyjne   19 Lut 2007 15:26 Odpowiedzi: 8    Wyświetleń: 14945
  • Mikrotik - konfiguracja DHCP

    Witam Mama taki problem, mianowicie chcę aby urządzenia(punkty dostępowe) i stacje robocze (komputery np. PC) pracowały na różnych pulach adresowych. Jak prawidłowo skonfigurować serwer DHCP? zakładam, że adresy dla urządzeń będą przypisywane na sztywno a dla stacji roboczych za pomocą serwera DHCP. Posiadam RB z serii 500 2x WLAN 3xETHERNET. Poniżej...

    Sieci WiFi   24 Lip 2013 10:40 Odpowiedzi: 4    Wyświetleń: 7005
  • Matryca LED RGB z obsługą myszy PS2

    Autorowi udało się, z pomocą pracownika www.wanzhouled.net zdobyć matrycę LED o wymiarach 32x32 diody RGB. Zakup był owocem poszukiwań najtańszej matrycy tego typu, prezentowana poniżej kosztowała jedynie $22 (plus koszty przesyłki, około $10). Inspiracją do stworzenia projektu był też film, który autor obejrzał na YouTube, a który prezentował wyświetlanie...

    DIY Zagranica   04 Sie 2013 13:20 Odpowiedzi: 0    Wyświetleń: 7548
  • mikrotik - Brak synchronizacji czasu tylko w MT-dziwna sprawa

    Dodano po 2 [admin(at)APC_R Skotniczny] /system ntp> export # jan/01/1970 01:12:53 by RouterOS 3.23 # software id = RFIF-DTT # /system ntp client set enabled=yes mode=unicast primary-ntp=149.156.4.11 secondary-ntp=0.0.0.0 Ale to chyba o to chodziło, nie wiem czemu przedtem całe ustawienia wypluł

    Sieci WiFi   23 Lut 2014 14:06 Odpowiedzi: 24    Wyświetleń: 5151
  • symulacyjny model filtru polifazwoego

    shg - po pierwsze nie wymądrzaj się (to odnośnie Twojej propozycji mojego dokształcania); Dobra, przepraszam, troche przesadziłem. :oops: . Nie mam nic na swoją obronę, oprócz tego że byłem niewyspany (jak zwykle) i ciężkiej książki, o której niżej. Ten post nie jest żadną docinką z mojej strony, bronię tylko swoich poglądów i wyjaśniam kilka spraw...

    DSP i Transmisja   03 Maj 2007 11:41 Odpowiedzi: 164    Wyświetleń: 69201
  • Poradnik: Czym jest i z czego składa się mikrokontroler?

    Mikrokontrolery są wszędzie. Niezależnie od tego, czy prowadzisz samochód, czytasz coś na komputerze lub smartfonie/tablecie, czy nawet robisz sobie filiżankę kawy w ekspresie. Ponieważ ilość systemów Internetu Rzeczy (IoT) gwałtownie rośnie, mikrokontrolery są coraz bardziej popularne w naszym otoczeniu. Przyjrzyjmy się im bliżej. Co to jest mikrokontroler?...

    Artykuły   12 Sty 2020 15:11 Odpowiedzi: 4    Wyświetleń: 3168
  • Magnetyczne silniki - informacje

    Nie miałem okazji zająć się tym. Nie jestem cyborgiem. Lepiej zająć się jedną rzeczą od początku do końca aniżeli "po łebka" każdym po torchu jak w szkole... Dodano po 1 Correspondence Posted in Chronological Sequence From: Jeffery Lacroix To: sterlingda(at)pureenergysystems.com Sent: Sunday, March 05, 2006 5:27 PM Subject: PES Network Inc., Executive...

    Na pograniczu nauki   08 Lip 2009 20:19 Odpowiedzi: 1708    Wyświetleń: 352889
  • [C#] Tablica obiektów z delegatem i obliczaną wartością

    Kod był powiedzmy na szybko skleconym przykładem, ale właśnie brakło mi tego jak wywołać tę funkcję. Skleciłem coś takiego: [syntax=csharp]namespace IES_2 { public struct dataElement { private string description; private string unit; private Delegate valueCalc; private string format; private byte[] requestSet; public decimal Value { get { return (decimal)valueCalc.DynamicInvoke();...

    Programowanie   10 Sie 2011 23:33 Odpowiedzi: 2    Wyświetleń: 1757
  • Żywot unitrowicza poczciwego, czyli rozważania nad nową "Unitrą"

    Znalazłem bardzo ciekawą definicję PMPO na StackExchange :) This is basically marketing bullshit. Take everything that looks like a watt inside the device, add it all up, round up an order of magnitude or two, and you got a number. An intern from marketing will add a zero or two. Basically, any mention of "PMPO" on the box means it contains garbage...

    Artykuły   13 Mar 2024 10:25 Odpowiedzi: 3423    Wyświetleń: 98514
  • Jak zobić sygnał sandcastle

    Czy Ty szukasz mozliwosci zbudowania imp. - super sandcastle signal ssc pulse (3-y poziomowego) (signal has three levels: a level for burst key a level for horizontal blanking and a level for vertical blanking) - czy imp. prostego sandcastle sc pulse (2-u poziomowego) Przebiegi: Może tutaj znajdziesz P.s na mój nos bez H-flyback bedzie b.trudno Ale...

    TV Początkujący   29 Wrz 2009 20:32 Odpowiedzi: 8    Wyświetleń: 2960
  • Otwieranie folderu podczas startu systemu

    Log z OTL: OTL logfile created on: 2013-06-21 12:54:33 - Run 2 OTL by OldTimer - Version 3.2.57.0 Folder = \\INFORMATYK\wymiana\Bezpieczeństwo_siec... 64bit- Home Premium Edition Service Pack 1 (Version = 6.1.7601) - Type = NTWorkstation Internet Explorer (Version = 9.10.9200.16614) Locale: 00000415 | Country: Polska | Language: PLK | Date Format: yyyy-MM-dd...

    Software serwis   21 Cze 2013 12:11 Odpowiedzi: 10    Wyświetleń: 5097
  • Arduino i komputer pokładowy AUDI FIS

    #include "Wire.h" #include "GetBootMessage.h" #include "GetButtonClick.h" //Define MAX Retries #define NENGINEGROUPS 5 #define NDASHBOARDGROUPS 1 #define NMODULES 2 #include "StalkRotate.h" // KWP. RX = Pin 2, TX = Pin 3 #define pinKLineRX 2 #define pinKLineTX 3 KWP kwp(pinKLineRX, pinKLineTX); /* #define...

    Arduino   03 Wrz 2020 15:38 Odpowiedzi: 6    Wyświetleń: 1833
  • ITERACJA - Powieść SF w odcinkach. Tom 1, 26 odcinków.

    Dear readers, As the first part of the book had been published we have decided to let all foreign readers read the sample of the book in english. However the translation may not be perfect, it may give you an impression on the whole book. The remaining part of the book has not been translated so far, so if you are interested, you may translate it further...

    HydePark opowiadania, powieści   02 Wrz 2020 16:20 Odpowiedzi: 74    Wyświetleń: 7035
  • Tlumaczenie z angielskiego na polski

    Czesc. Czy znajde kogos na tym forum, kto moglby przetlumaczyc mi ponizsze slowa z angielskiego na polski, za drobna oplata? Chodzi o to ze ja nie mam pojecia jak poprawnie, technicznie, slowa te wygladaja. Slowa pochadza z kontrolera silnika do takich aplikacji jak generatory prady, kompresory wody, powietrza, kruszarki, etc. Gear teeth Nominal RPM...

    Ogólny techniczny   28 Lut 2009 10:51 Odpowiedzi: 2    Wyświetleń: 12264
  • Tlumaczenie na Norweski i Szwedzki

    Dzień dobry. Może ktoś się podejmie przetłumaczenia tego co poniżej na Norweski i Szwedzki :) Pozdrawiam Gear teeth Nominal RPM Crank attempts MaxCrank time CrnkFail pause Cooling time Underspeed Eng prot del Overspeed Horn timeout ControllerMode AnlInp1 del AnlInp1 level1 AnlInp1 level2 AnlInp2 del AnlInp2 level1 AnlInp2 level2 AnlInp3 del AnlInp3...

    Ogólny techniczny   02 Cze 2010 13:40 Odpowiedzi: 0    Wyświetleń: 1393
  • [PIC16f84] Układ pracuje niestabilnie w momencie załączania przekaźnika

    To jest racze kwestia zakłóceń, generowanych w momencie w(y)łączania silnika. Duży ten silnik? Napięcie stałe czy zmienne? Czy jest jakaś przerwa przy zmianie kierunku? Czy ja wiem, czy taki duży...12v/1,5A, prąd oczywiście stały, przerwa wynosi 300ms, także spokojnie silnik zdąża się zatrzymać Pokaż schemat i program. skoro chcesz :). Schemat w załączniku:...

    Mikrokontrolery   18 Wrz 2011 12:06 Odpowiedzi: 76    Wyświetleń: 10698
  • Silnik Honda - Brak iskry, cewki TEC MP10 i fajki NGK SB05F sprawdzone

    Hello friend, I came across your post while looking for images of the spark unit 2PU6098-4107P1 4 by OKI that came with my 2002 Honda Deauville. While my spark unit is in fine working order as I managed to start the motor on the bench without the bike and all of its systems attached I wanted to share a bit how I did it which may help you do the same....

    Motocykle, Motorowery   16 Sty 2021 17:49 Odpowiedzi: 14    Wyświetleń: 3615
  • Pytanie o numery portów

    MAsz tu liste portów i odpowiadające im usługi (w sieci znalezione) 0 Niedostępny 1 TCPMUX Multiplekser obsługi portów TCP 2 compressnet Management Utility 3 compressnet Compression Process 5 RJE Zgłoszenie pracy zdajnej 7 ECHO TCP/UDP Echo 9 DISCARD TCP/UDP Odrzucenie 11 USERS ? SYSTAT ? TCP Aktywni użytkownicy 13 DAYTIME TCP/UDP Czas dzienny 15 NETSTAT...

    Sieci, Internet   21 Lis 2005 22:02 Odpowiedzi: 4    Wyświetleń: 21047
  • [PIC + dsPIC] Jak się za to zabrać, czyli kompendium

    JESLI SZUKASZ INFORMACJI TO NIE CZYTAJ TEGO AKAPITU (; Padla inicjatywa stworzenia zestawu przyklejonych tematow, ktore odpowiadalyby na pytania powtarzajace sie czesto na forum - takie mini kompendium. Wypali to tylko jesli mnie wspomozecie, bo wszystkiego to ja nie wiem, a moje doswiadczenie jest bardzo mizerne. Dlatego prosze was o pomoc w tworzeniu...

    Mikrokontrolery PIC   08 Wrz 2013 00:21 Odpowiedzi: 25    Wyświetleń: 35880
  • Passat b5, 97r, afn - Passat b5 1,9 tdi. brak mocy, zacina sie.

    tutaj masz poradnik, co i jak logowac Po uruchomieniu programu VAG-Com wykonujemy następujące operacje: 1. Odczytujemy błędy z komputera silnika (ECU): Select -> 01-Engine -> 02-Fault Codes po czym zapisujemy wyświetlone błędy do pliku .txt i zamieszczamy na forum. 2. dla odczytu bloków wartości mierzonych: Select -> Engine-01 -> Meas. Blocks - 08 [numer...

    Samochody Mechanika   07 Paź 2015 21:30 Odpowiedzi: 8    Wyświetleń: 5082
  • Błąd Regsvr32 Nie można załadować modułu - logi.

    Utworz punkt przywracania systemu. Wykonaj taki Fixlist.txt: Task: {13F0DA8D-0D7E-4FA4-9564-7F5FBE76EC9B} - System32\Tasks\Opera scheduled Autoupdate 1426596940 => C:\Program Files (x86)\Opera\launcher.exe [2016-02-01] (Opera Software) Task: {535C30C2-6EF2-4B03-A500-BFCA7B99BE81} - System32\Tasks\IntelMemoryDiagnostic => C:\Users\Loco\AppData\Roaming\d3dx10.exe...

    Pogotowie Antywirusowe   15 Lut 2016 09:05 Odpowiedzi: 6    Wyświetleń: 930
  • Odtwarzacz/Converter do formatu .dxa

    First, let's have a look at what DXA format is. DXA files are cutscenes videos in the video game The Feeble Files, an adventure video game about the adventures of an alien called Feeble. This game was created and released in the UK in 1997 by Adventure Soft on Windows. The Mac version and Amiga version is created in 2001 and 2002 respectively. The videos...

    Monitoring Wizyjny CCTV   19 Lip 2018 07:14 Odpowiedzi: 7    Wyświetleń: 5676
  • Squid i uruchomienie? FATAL: Could not determine fully...

    witam przy odpaleniu sqida mam odp. od serwera : root(at)serwer:/etc/squid# /etc/init.d/squid start * Starting Squid HTTP proxy squid * Creating squid spool directory structure FATAL: Could not determine fully qualified hostname. Please set 'visible_hostname' Squid Cache (Version 2.6.STABLE5): Terminated abnormally. CPU Usage: 0.028 seconds = 0.020...

    Sieci, Internet   01 Lip 2007 17:57 Odpowiedzi: 12    Wyświetleń: 6122
  • Jak wykonać logi statyczne i dynamiczne w Audi A3 8L 1.9 TDI w trybie awaryjnym?

    Przeczytaj to i zrób logi statyczne. Loguj tylko blok 11 dla odczytu bloków wartości mierzonych: a.Select -> Engine-01 -> Meas. Blocks - 08 [numer bloku] b.Select -> Engine-01 -> Basic Settings - 04 [numer bloku] 2.Wykonujemy logi statyczne ( samochód stoi na biegu jałowym, silnik włączony, wymagana robocza temperatura silnika na desce rozdz. 90*C =...

    Samochody Początkujący   25 Sie 2019 07:01 Odpowiedzi: 5    Wyświetleń: 1833
  • Skoda Superb 3v - DLA błędy modułów w lampach nieprawidłowe kodowanie

    Cześć! kupiłem sobie skodę superb 2019 wyciąg z vcds Wednesday,01,June,2022,20:45:00:60643 VCDS -- Windows Based VAG/VAS Emulator Running on Windows 7 x64 VCDS Version: 21.9.0.2 (x64) HEX-V2 CB: 0.4615.4 Data version: 20210903 DS330.0 www.Ross-Tech.com License Plate: Mileage: 129154km-80252mi Repair Order: ----------------------------------------...

    Samochody Elektryka i elektronika   17 Cze 2022 18:15 Odpowiedzi: 0    Wyświetleń: 855
  • Serwer OwnCloud - instalacja na Ubuntu Server 14.04.

    <VirtualHost *:80> ServerAdmin admin(at)ubuntu DocumentRoot "/var/www/html/nextcloud/" ServerName 10.0.0.24 ServerAlias ubuntu <Directory "/var/www/html/nextcloud/"> Options FollowSymLinks AllowOverride All Order allow,deny allow from all </Directory> ErrorLog /var/log/apache2/your-domain.com-error_l... ErrorLog /var/log/apache2/your-domain.com-error_l...

    Linux   08 Paź 2020 11:22 Odpowiedzi: 8    Wyświetleń: 2178
  • 4G (LTE) 5G - podcast #23

    To wygląda ciekawie: "An OTFS waveform doesn’t vary from delay-Doppler effects", oraz: "...machine learning could be used to “learn” a waveform based on the full air interface, fram transmitter to receiver... ML replaces not only processing blocks, but the transmitter physical layer and constellation... Let neural networks learn the design - doesn’t...

    Artykuły   25 Paź 2023 20:32 Odpowiedzi: 14    Wyświetleń: 1542
  • Smartfon iLike U3 (Oppo U3) - Jak wywalić wirusy i reklamy?

    Właśnie siedzę przed konsolą ADB SHELL z podłączonym do kompa telefonem i sprawdzam jakie pakiety są zainstlowane. Znalazłem podejrzany "com.fota.wirelessupdate", który nie jest od androida, więc go skasowałem. Jako że cały czas podejrzewam ten program iLike (com.android.ilike), znalazłem go w konsoli i skasuję go. Dodano po 14 Wysyłam też całą listę...

    Smartfony Początkujący   21 Paź 2018 17:07 Odpowiedzi: 7    Wyświetleń: 1017
  • Passat, 130km, czujnik temperatury

    Fajny ten mechanik co kasuje błąd i myśli, że już po problemie. Myślę, że problem będzie z układem recyrkulacji spalin. Niech ktoś jeszcze raz podepnie tester diagnostyczny i sprawdzi to dokładnie - zarówno błędy jak i wartości w grupie 003 statycznie w trybie Meas Blocks jak i Basic Settings w 01 Engine.

    Samochody Początkujący   09 Lip 2011 06:02 Odpowiedzi: 4    Wyświetleń: 5143
  • MIWE CONDO piec wielopoziomowy - Instrukcja serwisowa,kody bledow,naprawy.

    Znalazlem na stronie producenta jedna fotke z przekrojem podobnego zbiornika parowego : Znalazlem.Rdzawa woda to nie pozostalosc po czujniku-sondzie:) jak myslalem,lecz resztki "electrode heating systems " Pozdrawiam Andrzej

    Urządzenia przemysłowe   31 Sie 2016 06:25 Odpowiedzi: 9    Wyświetleń: 11463
  • Komputer Strasznie Zamula - Zawieszanie sie Komputera

    Skany Komputera zrobiłem nic nie wykazalo. Logi EXTRAS : ========== Shell Spawning ========== [HKEY_LOCAL_MACHINE\SOFTWARE\Classes\<... batfile [open] -- "%1" %* cmdfile [open] -- "%1" %* comfile [open] -- "%1" %* cplfile [cplopen] -- %SystemRoot%\System32\control.exe "%1",%* (Microsoft Corporation) exefile [open] --...

    Pogotowie Antywirusowe   05 Maj 2013 23:42 Odpowiedzi: 41    Wyświetleń: 7290
  • eset i opera - Eset internet security blokowanie adresu

    Cały czas problem z internetem alert eseta po wejściu na każdą stronę LOG Z OTL coś mi się długi wydaje OTL logfile created on: 2014-04-25 17:50:49 - Run 1 OTL by OldTimer - Version 3.2.69.0 Folder = C:\Users\łysiory\Desktop 64bit- An unknown product (Version = 6.2.9200) - Type = NTWorkstation Internet Explorer (Version = 9.11.9600.17031) Locale: 00000415...

    Hardware, Software, naprawa urządzeń sieciowych   25 Kwi 2014 17:29 Odpowiedzi: 2    Wyświetleń: 2742
  • różnice między AVR z literką z L i bez L

    Treść maila od Atmel: Is there actually a difference between the chips? Someone told me that the Mega8L chips were Mega 8L's that failed the 16MHz speed tests. I asked Atmel about this and this was their engineer's response: Dear Customer, All versions are basically the same device. The only difference is that they are tested with different voltage...

    Mikrokontrolery AVR   02 Lip 2008 11:24 Odpowiedzi: 15    Wyświetleń: 1892
  • CB Radio i Antena magnesowa w DOOGE RAM 1500

    Po zamontowaniu CB radia w DOOGE RAM 1500 i naciśnięciu nośnej - spadają obroty silnika, nawet do minimum . To samo na różnych antenach magnesowych i na CB radiach. ... Próba podłączenia bezpośrednio do gniazda zapalniczki i dalej to samo. Dlaczego tak się dzieje??? Co może być przyczyną??? Bardzo proszę o pomoc. Odpowiedź jest w pytaniu... Brak instalacji...

    CB Radio   13 Sty 2009 20:03 Odpowiedzi: 1    Wyświetleń: 11169
  • AUDI A4 3.0 TDI QUATTRO 2005r. - problem z mocą / notlauf

    Kolego proponuje wstawic to autko, do servisu Audi. Jezeli faktycznie byles u mechanika i on nie wykonal naprawy nalezycie, servis wystawi ci dokumentacje naprawy na podstawie ktorej mozesz dochodzic sadownie zwrotu poniesionych kosztow, zarowno za jego naprawe jak i naprawe po nim. Jezeli masz problem z jezykiem w "Goncu" znajdziesz mase ogloszen prawnikow...

    Samochody Mechanika   16 Wrz 2010 10:07 Odpowiedzi: 26    Wyświetleń: 54451
  • Satel CA10 połączony z Domoticz? - Teraz już tak.

    Do you think, it can work for CA10? I could try too. I have a CA6 P. And it is a hobby project only to integrate the sensors into Home Assistant. The code basically reads the messages sent to the keypad, where the keypad sets the LEDs according the message. The electronics inside the keypad is quite simple, old. The link in Github has some photos of...

    Smart Home IoT   27 Cze 2023 16:26 Odpowiedzi: 13    Wyświetleń: 1212
  • PSpice 6.3A i 9.1. Jak można zaprojektować płytkę w 9.1?

    No niestety chyba nie masz racji, jak już wspomniałem w OrCAD 9.1 nie ma schematicsa, był on umieszczany tylko w wersji PSpice 8 (i wcześniejszych) oraz 9.1 Student - Demo. A w opcji custom instalatora pspice mam: 0000-00000-0000 dla Capture CIS 1000-00000-0000 dla Express 2000-00000-0000 dla Express Plus 3000-00000-0000 dla Layout 4000-00000-0000 dla...

    Projektowanie PCB   25 Lut 2005 14:33 Odpowiedzi: 5    Wyświetleń: 3073
  • Jak sprawdzic przeplywomierz Golf III GTI

    Kto to może wiedzieć, jak wogóle nie ma tu pomiarów z MAF w moim aucie takie rzeczy mozna posprawdzac na vagu, moze podpowiecie z ktorej sekcji co sprawdzic bedzie latwiej: ; VAG-COM label file ; ; VW Corrado (50) / VW Golf/Jetta (1H) / VW Passat (3A) / VW Sharan (7M) ; ; component: Engine (#01) - ADY/AGG/AKR ; ; p/n: 037-906-025 ?? ; ; includes measuring...

    Samochody Elektryka i elektronika   19 Sty 2008 01:28 Odpowiedzi: 9    Wyświetleń: 8398
  • Karta na PCI-Express podłączona do laptopa (zasilanie)

    Czyli patrząc okiem laika to podpinam zasilacz 12V + zasilacz z PC, potem wsadzam kartę do laptopa i wszystko powinno zadziałać? Producent urządzenia tak to ujmuje: PE4L design for engineering test. NOT for home or office use. Basically, PCIe add-on card designed for desktop. We can’t guarantee it compatibility with your laptop. Depends on OS...

    Laptopy Hardware   03 Mar 2011 17:02 Odpowiedzi: 6    Wyświetleń: 6737
  • S71200 Panel - Panel KTP 700 brak dodatkowych opcji.

    Poniżej lista z Siemens'a (nie całkiem aktualna, ale pojęcie daje raczej): Supported devices for software engineering WinCC Basic Basic Panels: KP300 Basic, KTP400 Basic, KTP600 Basic, KTP1000 Basic, TP1500 Basic WinCC Comfort Basic Panels: KP300 Basic, KTP400 Basic, KTP600 Basic, KTP1000 Basic, TP1500 Basic Panels / Multi Panels: OP 73, OP 77, TP 177,...

    Automatyka Przemysłowa   24 Wrz 2015 12:46 Odpowiedzi: 10    Wyświetleń: 1266
  • Sharan 2,0TDI 103kW 2011 - P229F00 i P20EE00 NOx adBlue

    Witam . Wykonałem to:  VCDS->Engine->Basic Settings->Check of SCR efficiency po 20 minutach kończy się komunikatem "Aborted-Safety reasons | Cancel" . Kontrolka Adblue i odliczanie zgasło. Pozdrawiam

    Samochody Elektryka i elektronika   16 Lut 2020 17:46 Odpowiedzi: 27    Wyświetleń: 34176
  • Jak skompilować kod dla AKI do PIC16F84 w MPLab?

    Napiszę pod postem. Kod się kompiluje i działa poprawnie. Za to walczę teraz z drugim kodem - podobnym bardzo, po czyichś modyfikacjach: [syntax=avrasm] ; original File = Tasta.hex from MacFaulkner's AKI ; Based on the v1.1A Tasta.hex file ; ; This was a .hex to .asm conversion, German layout stripped out, and ; various fixes provided by Nathan Hartwell...

    Mikrokontrolery PIC   13 Lis 2019 17:43 Odpowiedzi: 5    Wyświetleń: 840
  • Zastosowanie tranzystora bipolarnego do sterowania przekaźnikiem - zalety i wady

    No cóż, myślisz w kategoriach hobbysty. Po pierwsze, to dłubać możesz sobie w swoim DIY, w większych firmach (półprzewodnikowe raczej są duże) nikt nie dłubie "sam z siebie" i samowolnie. A nawet gdyby ktoś coś wydłubał... Dzisiaj w zbiurokratyzowanych do granic możliwości korporacjach wydaje się to nieprawdopodobne ale gdyby taki Jean Hoerni nie dłubał...

    Początkujący Elektronicy   30 Sty 2021 14:02 Odpowiedzi: 23    Wyświetleń: 807
  • Einhell Ładowarka WLGN 30/100 nie ładuje baterii - brak wskazania A, pytanie o cewkę Drossel E66/23

    I've never heard about any "high current department" all around the world, but i've heard about high voltage department. So, if your knowlegde and experience lets you work with high voltage, thats no chance you wont know every electrotechnical basics and law of physics to dont kill yourself on first job you have to done. But still, you are thinking...

    Zasilacze, ładowarki   22 Lis 2022 02:40 Odpowiedzi: 29    Wyświetleń: 864
  • Temperatura wokół elementu grzejnego

    Czy może mi ktoś polecić jakąś książkę, lub przynajmniej jak mam szukać o rozchodzeniu się ciepła. Jak znasz angielski to polecam: 1. Heat Transfer: Basics and Practice - Peter von Bockh 2. Introduction to Heat Transfer Vedat S. Arpaci 3. Introduction to Heat Transfer, 6 edition Theodore L. Bergman 4. Engineering Heat Transfer Donatello Annaratone...

    Forum Budowlane   29 Sty 2013 11:38 Odpowiedzi: 5    Wyświetleń: 1296
  • Audi A6 08' 3,0TDI - Kody błędów 04120(P1018), 05715(P1653)

    044 Basic setting: Intake manifold flap bank 1 1) Coolant temperature at least 80 °C 2) Switch off ignition briefly, then start engine 3) Start basic setting 4) Follow driver instructions (pedals must be pressed) 5) Wait for the engine speed rise to approx. 2800 rpm KNote: The bank 1 intake manifold flaps are now alternately opened and closed at intervals....

    Samochody Elektryka i elektronika   27 Mar 2013 11:09 Odpowiedzi: 17    Wyświetleń: 38349
  • Audi a3 8p abs kodowanie - Audi a3 8p mk60 abs długie kodowanie

    witam, wciaż nie rozwiazalem problemu, po generowaniu kodu kalkulatorem sterownik wciaz nie przyjmuje. wyskakuje kod 31. ponizej wklejam log, moze ktos pomoc wygenerowc kod? Saturday,07,April,2018,19:55:04:16946 VCDS -- Windows Based VAG/VAS Emulator Running on Windows 7 x64 VCDS Version: 17.8.1.0 (x64) Data version: 20171130 DS276.6 www.Ross-Tech.com...

    Samochody Elektryka i elektronika   08 Kwi 2018 15:35 Odpowiedzi: 2    Wyświetleń: 9795
  • Audi A5 B5 1.6 8v ADP - Falowanie obrotów, duszenie oraz strzały na zimnym

    Witam wszystkich forumowiczów! Mam problem z moją A4 B5 1.6 ADP. Problem polega na tym, że po odpaleniu autko nie ma mocy, strzela (tak jakby strzał w filtr powietrza) oraz falują obroty. Na biegu jałowym czuć że lekko buja całym autem. Autko ma gaz. Świece, kable, cały zapłon nowy. VCDS wywala błędy: 00561 - Dopasowanie składu mieszanki (Wartość skrajna...

    Samochody Początkujący   29 Wrz 2018 13:17 Odpowiedzi: 3    Wyświetleń: 2493
  • VW Polo 3 (6n2) - Błąd 17559 a pęknięcie dolotu.

    Spróbuję według tego: Block 002 - Load Registration - Check the MAF airflow reading at idle. Make sure the car is running and warmed up and the A/C is off. Go to Block 002 and look in Field 4. The value at idle should be between 1 and 25 g/s. If it's lower than 1 g/s, then there is probably a leak in the intake tubing between the MAF and manifold. Block...

    Samochody Początkujący   09 Paź 2019 20:23 Odpowiedzi: 9    Wyświetleń: 2382
  • Kodowanie sterownika ABS w Audi A6 C6 Sedan 3.2FSI Manual Quattro - Bosch 8.0 (4F0-910-517.CLB)

    Najlepiej wziąć się za coś, czego się nie potrafi, a później szukać magika, który pomoże ;) Kalkulator to sobie można na targu kupić... Albo liczydło. Jedno i drugie pomoże tak samo. Wcale. Po pierwsze - Jeżeli masz układ ABS Bosch 8.0, to przy wymianie modułu na nowy wypadałoby użyć oryginalnej wartości kodowania ze starego modułu. Jeżeli to nie możliwe,...

    Samochody Początkujący   30 Lis 2023 02:29 Odpowiedzi: 6    Wyświetleń: 375
  • Peugot Partner problem BSI 2004 2.0 hdi

    Znalazłem w necie procedurę resetu BSI po 2002r. z dostrojeniem pilota zdalnego sterowania, po nieudanej próbie dopisania kluczy. niestety w języku angielskim.Peugeot BSi reboot procedure Some of you will have already come across a strange problem when programming keys on 2002 onwards Bsi equipped Peugeot. This usually presents itself as a complete...

    Samochody Elektryka i elektronika   26 Gru 2009 20:26 Odpowiedzi: 25    Wyświetleń: 15855
  • Audi a4 2005r... nie równa praca silnika

    dziugi oto instrukcja robienia logowania silnika: Diagnostyka silnika właściwa. Diagnostykę wykonujemy trójetapowo: 1. poprzez odczyt błędów; 2. poprzez odczyt bloków wartości mierzonych: a. w tzw. próbie statycznej; b. w tzw. próbie dynamicznej. Po uruchomieniu programu VAG-Com wykonujemy następujące operacje: 1. dla odczytu błędów w sterowniku silnika...

    Samochody Mechanika   02 Lip 2011 17:09 Odpowiedzi: 41    Wyświetleń: 33717
  • SEAT LEON 1,8 20v błędy TCS i EPC

    Witam. Mam następujący problem: przy pokazanych 2000 obrotów gaz jest w podłodze, często nie reaguje w ogóle. Sypało błędami przepustnicy: 17950 - Angle Sensor 1 for Throttle Actuator (G187): Implausible Signal P1542 - 35-10 - - - Intermittent 17579 - Shareware Version. To Decode all DTCs P1171 - 35-10 - Please Register/Activate - Intermittent 17976...

    Samochody Początkujący   29 Wrz 2011 21:26 Odpowiedzi: 4    Wyświetleń: 7720
  • Rozpiska pinów do licznika oraz kłopot po wymianie licznika.

    k-bus jest ci nie potrzebne to jest do podłączenia do radia Vaga ( jak się uprzesz to można pociągnąć z wtyczki do diagnostyki) s-kont - to + po stacyjce gala - jest wzięta z licznika (jesli licznik po 98 wtyczka zielona pin 30 lub wtyczka niebieska pin3) Rozpiska pinów licznika. Licznik przed 1997 r. connector, blue, 26-pin 1 -not used 2 -Buzzer actuation...

    Samochody Szukam   21 Mar 2012 21:31 Odpowiedzi: 1    Wyświetleń: 5035
  • Windows XP SP3 - które procesy mogę wyłączyć?

    Witam jestem tu nowy i nie jestem pewien czy wybrałem odpowiednie miejsce na mój temat w razie czego proszę o poprawienie mnie . Jestem trochę zaniepokojony o moje zużycie procesora nie chodzi mi o % jego zużycia. Bardziej martwi mnie procesy których mam aż 51 . Założyłem ten temat abyście poradzili mi i wyjaśnili jak wyłączyć zbędne procesy na zawsze....

    Software serwis   20 Cze 2012 09:53 Odpowiedzi: 2    Wyświetleń: 2763
  • Audi A4 - UKNSI pinout zasilania 8D0 919 033 C

    Moze podpasuje ; connector for basic functions, 32-pin, blue PO 2000R!!!!!!! 1 -Terminal 15 2 -Wear of brake pads 3 -Speedometer output 1 4 -not used 5 -Fuel gauge sender 6 -Fuel gauge warning OBD 2 7 -Terminal 31 (sensor earth) 8 -Coolant temperature 9 -Terminal 31 (load earth) 10 -Oil pressure 2 (high) 11 -Engine-speed signal 12 -Air conditioner shutoff...

    Samochody Elektryka i elektronika   27 Lip 2012 19:35 Odpowiedzi: 1    Wyświetleń: 6533
  • hp nx7300 - Zamulony komp

    [syntax=text]OTL Extras logfile created on: 2013-06-05 13:23:08 - Run 1 OTL by OldTimer - Version 3.2.69.0 Folder = C:\Documents and Settings\SysOp\Moje dokumenty\Downloads Windows XP Professional Edition Dodatek Service Pack 3 (Version = 5.1.2600) - Type = NTWorkstation Internet Explorer (Version = 8.0.6001.18702) Locale: 00000415 | Country: Polska...

    Pogotowie Antywirusowe   05 Cze 2013 20:36 Odpowiedzi: 6    Wyświetleń: 2277
  • GPZ 500 - Zmiana modułu zapłonowego (rozpisanie instalacji)

    Tutaj może być odpowiedź na moje bolączki. "It sounds to me like you've missed the "security" resistor out. On the switch that goes on the back of the key-barrel there is a 100 ohm resistor. When the engine is on, this is connected between +12V and the gray wire which goes all the way back to the ECU. So basically what you need is to find a 12V source...

    Motocykle, Motorowery   26 Lip 2021 18:26 Odpowiedzi: 27    Wyświetleń: 19995
  • VW Golf V 1.6 FSI - Problem z czujnikiem NOx i silnikiem/elektryką

    Witam, mam problem z moim Golfem V 1.6 FSI Sportline z 2003/2004 roku. Autko z Włoch, pełen serwis (historia sprawdzona w bazie VW), przebieg autentyk - 109 425 km (zrobiłem w 2 mies. ponad 1000 km). Problem ogólnie taki, że - pozwolę sobie zamieścić moją historie z innego forum: 21 lip 2013 Dziś wyjechałem autem i myślę "Przełączę kompa na średnie...

    Samochody Mechanika   23 Lis 2023 19:37 Odpowiedzi: 15    Wyświetleń: 69780
  • Volkswagen - Automatyczna skrzynia biegów, szarpie przy redukowaniu biegów

    Z informacji od poprzedniego właściciela wiem ze chyba ten sterownik został wymieniony ( CO ZNACZY CHYBA ) jakiś czas temu tylko nie wiem czemu atf był strasznie zasyfiony troszku to nie logiczne... jak ktoś zmienia sterownik to czemu nie zmieni oleju... ( NIE POWINIEN BYĆ „ZASYFIONY”). No chyba ze nie spuścił oleju z chłodnicy atf-u i pozostał...

    Samochody Początkujący   09 Lip 2014 01:04 Odpowiedzi: 12    Wyświetleń: 15267
  • Renault Laguna III - jak sprawdzić historię po VIN VF1BTD90E44526721?

    Main data Parameter Value Title Clio IV BHMG Flame red VIN VF15R0G0H50936437 Model Clio IV Model type BHMG Date of manufacture 06 2014 Vehicle fabrication number R358587 Equipment level EA2 Additional to equipment level SAN913 Additional to Limited Edition SAN513 Paint code OV460 Seat trim Velour Interior trim HARM01 Country of application of technical...

    Samochody Eksploatacja   02 Lis 2023 11:30 Odpowiedzi: 96    Wyświetleń: 8994
  • Kodowanie pompy ABS w Audi A3 2009 po odłączeniu deski rozdzielczej

    Witam potrzebuje pomocy ponieważ deska rozdzielcza była tylko odłączona na kilka minut i po podłączeniu pompa abs zgubiła kodowanie.Bardzo prosze o pomoc w zakodowaniu tej pompy. Dziękuje Robert Saturday,01,May,2021,16:33:01:17683 VCDS -- Windows Based VAG/VAS Emulator Running on Windows 7 x64 VCDS Version: 21.3.0.0 (x64) Data version: 20210226 DS325.0...

    Samochody Elektryka i elektronika   01 Sie 2021 12:27 Odpowiedzi: 2    Wyświetleń: 900
  • Audi A6 C7 2.0TDI S-tronic - Jak zaadaptować sterownik skrzyni S-Tronic błąd

    Prerequisites: Transmission fluid level correct Transmission fluid temperature between 40°C - 100°C. (one user reports this needs to be below 60°C) TCM fault free however it is strongly recommended to check/clear all Powertrain controllers faults also. Key on, Engine off Selector lever in P All 3 Basic Setting operations need to be completed in the...

    Samochody Elektryka i elektronika   04 Gru 2021 18:04 Odpowiedzi: 10    Wyświetleń: 4521
  • Automatyczna konfiguracja urządzeń Tasmoty i OpenBeken na Windows z cURL HTTP

    Pokażę tutaj jak można masowo konfigurować i kontrolować urządzenia IoT z wgranym oprogramowaniem cm , natomiast zmienną cmnd, to co w niej zawrzemy zostanie wykonane przez urządzenie. Wszystkie skrypty będę uruchamiać na platformie Windows , ale na Linuxie wyglądałoby to analogicznie, tylko nieco inna składania. Interfejs HTTP - komendy Tasmoty (i...

    Smart Home Poradniki   14 Mar 2023 19:44 Odpowiedzi: 12    Wyświetleń: 3633
  • Jak projektować płytki drukowane PCB - część 19 - Parametry termiczne układów

    Zrozumienie parametrów termicznych układów scalonych, czy to mikrokontrolerów, FPGA, czy procesorów, zawsze było niezbędne, aby uniknąć ich przegrzewania, które może powodować awarie. Miniaturyzacja systemów elektronicznych i rozpowszechnianie się komponentów generujących dużo ciepła, takich jak diody LED czy układy do obliczeń, sprawia, że rola analizy...

    Artykuły   08 Wrz 2023 22:12 Odpowiedzi: 0    Wyświetleń: 1200
  • Wyrzucamy algorytm Gutmanna do kosza - czyli o skuteczności niszczenia informacji

    Ten artykuł powstał w odpowiedzi na propozycję kolegi (at)Techekspert i jest rozwinięciem mojej prezentacji przedstawionej na konferencji The H(at)ck Summit w dniu 20 października 2023 r. Samą prezentację możesz pobrać stąd: Wyrzucamy algorytm Gutmanna do kosza Podstawowe informacje o niszczeniu danych Poprawne usuwanie danych jest ważnym, aczkolwiek...

    Artykuły   02 Lis 2023 14:34 Odpowiedzi: 57    Wyświetleń: 5076
  • BIOS do karty Sparkle SP7228 GeForce4 TI4200.

    Niestety nadal stoje w miejscu. Otrzymałem oryginał, ale prawdopodobnie różni sie rewzją PCB i przede wszystkim różnią się pamięciami ; tam 64 a u mnie 128. Musze dokładniej prześledzic chyba temat. To jest cytat ze strony producenta: Because of the risk of flash your bios ,we don't post our bios file on the web page, but you can contact our techenical...

    Software serwis   15 Gru 2007 13:16 Odpowiedzi: 11    Wyświetleń: 1981
  • Kodowanie ABS\ESP pasat b6

    zczytaj ze starego jak się da Dodano po 40 Currently, there is no coding information available for this module. If the ABS Control module needs to be replaced, read and record the coding from the old module. Basic Setting Sensor for Steering Angle (G85) Prerequisites: Start the vehicle. Drive in a short distance straight line on a level surface at a...

    Samochody Elektryka i elektronika   08 Lis 2009 13:40 Odpowiedzi: 4    Wyświetleń: 5240
  • DSG 7 biegów regulacja sprzęgła które bloki VAG ?

    Tu masz procedurę. Powinno działać. 1)Handbrake On, Car is in Park and Ignition is on but Engine is off. (Transmission should be warmed up already before doing this) 2)Go to 02 - Electronics & Measurement Group / Basic Settings > Group 60 > Read 3)Wait for fields to display the following information: 4 - 0 - 0 and then start engine in next 10 seconds....

    Samochody Elektryka i elektronika   15 Gru 2015 22:14 Odpowiedzi: 7    Wyświetleń: 24900
  • VW Passat - 1.8 T Szarpie na wolnych obrotach .

    Witam mam problem z Passatem 1.8 AWT 150 KM Samochod na wolnych obrotach szarpie jako bład ma 17705 - Spadek ciśnienia pomiędzy Turbo a przepustnicą (sprawdź bloki pomiarowe!) P1297 - 35-00 Wszystko wzkazywało na nieszczelnosc wiec szukalem wymienilem odme peknieta byla ale dalej nie jest ok oto parametry Adres 01: Silnik (4B0 906 018 DH) 16:46:08 Grupa...

    Samochody Mechanika   05 Kwi 2013 21:32 Odpowiedzi: 2    Wyświetleń: 5856
  • Passat B7 - Nie działaja wspomaganie kierownicy

    Cześć! Mam Passata B7 w którym jest niesprawne elektryczne wspomaganie kierownicy. Na liczniku pali sie czerwona lampa kierownicy do maglownicy i silnika idą 3 wtyczki wg. mnie od czujnika G85 od sterowania wspomaganiem od zasilania wspomaganiem (dwa grube przewody) o braku wspomagania mówią błedy; Address 44: Steering Assist Labels: 5N1-909-144.clb...

    Samochody Elektryka i elektronika   13 Lut 2020 22:17 Odpowiedzi: 18    Wyświetleń: 24486
  • Wybór silnika dla Kia Ceed II: 1,4 LPG vs 1,6 CRDI, roczne przebiegi 5000 km

    Kolego autobaza.pl to żaden fake, podaje dane wyposażenia z fabryki ETKA itp .. Przed chwilą sprawdzałem audi, uważasz że to nic ? ParameterValue BrandAUDI ModelAUDI A3 SPORTBACK 2.0 TDI VINXXXXXXXXXXXXXXXXXXXXXXXXXXXX Production date2010-09-23 Model year2011 Engine codeCFFB (TDI CR) Engine number000 Engine volume, L2.00 Number of cylinders4 Power,...

    Samochody Eksploatacja   17 Maj 2019 21:00 Odpowiedzi: 7    Wyświetleń: 2802
  • Prośba o sprawdzenie schematu aktywnego obciążenia

    Poprowadzenie mas: Pierwsza odpowiedź w i artykuł tam zalinkowany. Schemat jest mocno przekombinowany i tak z połowę elementów da się wyrzucić lub zoptymalizować: - zasilanie: bezpieczniki PRZED stabilizatory, bo inaczej uszkodzony stabilizator zadymi. L7805 ma wydajność 100mA.... Czemu nie zwykły 7805 ( )? 3.3v należy wziąć już z 5V. LF33 jest LDO,...

    Początkujący Elektronicy   11 Gru 2020 09:33 Odpowiedzi: 11    Wyświetleń: 498
  • Nie widzę problemu - życie codzienne z wadą wzroku

    Po niedawnym, drobnym Czynności życia codziennego, które wyglądają inaczej, jak się widzi tyle, co ja Poruszanie się Gdzieś kiedyś przeczytałem, iż wzrok odpowiada za 90% naszego postrzegania otoczenia. Coś w tym jest. Często proponuję widomym prosty eksperyment: spędź cały dzień z zasłoniętymi oczami. Raz zrobiłem taki eksperyment na imprezie u znajomego:...

    Tematy tygodnia   03 Maj 2023 08:46 Odpowiedzi: 54    Wyświetleń: 4203
  • Oscyloskop do 1500 zł dla początkujących - sugestie i propozycje z linkami

    Prawdę mówiąc to nie widziałem pozytywnego zdania o tym sprzęcie, może na YT gdzie firma to rozsyłała za free aby mieć reklamę, a wiadomo trudno gryźć rękę która karmi... W #19 podałem link do aukcji, gdzie jest ponad 600 opinii do tego wyrobu. Urządzenie się sprzedaje bardzo dobrze, bo w tej cenie nie da się niczego innego kupić. Główny zarzut użytkowników,...

    Warsztat co kupić?   13 Cze 2023 11:05 Odpowiedzi: 115    Wyświetleń: 5550
  • Kamera kopułowa BCS-P-262R3S-E-II - zawiesza się przy uruchamianiu

    Dziękuję (at)gradek83 za podpowiedź. Niestety wysyłanie komendy Ctrl+B nie przerywa procesu uruchamiania. Sprawdzałem na PuTTY oraz na Tera Term. Używam przejściówki CH341A na USB. Przejściówka jest na pewno sprawna, bo jak niedawno "bawiłem się" jakimś starym routerem to mogłem przerywać proces bootowania i logować się do urządzenia. Połączenie przejściówki...

    Monitoring Wizyjny CCTV   09 Mar 2024 00:03 Odpowiedzi: 4    Wyświetleń: 156
  • podciagniecie CAN na potrzeby Concert 2 CD w Audi B5 polift.

    Nie zależy mi na wyswietlaniu na FISie , bo nie mam pełnego Chodzi o wł / wył radia po przekreceniu kluczyka, nie gasnieciu co godzine oraz działaniu podświetlenia ( bez spinania na krotko kablem ) znalazlem na forum A4 że : Licznik z 1/2 FIS Midline-instrument cluster A - Green 32-pin multi-pin connector B - Blue 32-pin multi-pin connector C - Discontinued...

    Radia Samochodowe Serwis   10 Maj 2020 23:06 Odpowiedzi: 22    Wyświetleń: 23008
  • Golf V 1.6 GTI - Błąd 16804

    Golf V 1.6 GTI 2006 1.6 benzynka 75kw Czyli silnik o kodzie BSU lub BGE. Test sondy lambda przed kat. robisz w grupie 034 w BS,wg tej procedury: 034,0,Lambda Control (Aging Check: Bank 1 Sensor 1) ; "Activate" Basic Setting ; Firmly press Brake Pedal and Throttle Pedal at once ; Engine Speed increases automatically > Field 4 = Test ON ; Wait until...

    Samochody Elektryka i elektronika   13 Paź 2021 20:50 Odpowiedzi: 9    Wyświetleń: 9324
  • Nie można uruchomić wygaszacza ekranu w Win 7 64.

    W DxDiag wszystko ok. ------------------ System Information ------------------ Time of this report: 9/7/2015, 09:44:14 Machine name: KOMPUTER-DAWID Operating System: Windows 7 Home Premium 64-bit (6.1, Build 7601) Service Pack 1 (7601.win7sp1_gdr.150715-0600) Language: Polish (Regional Setting: Polish) System Manufacturer: MSI System Model: MS-7808...

    Komputery Początkujący   07 Wrz 2015 09:25 Odpowiedzi: 4    Wyświetleń: 1989
  • VV CC 2013 - Nie można zakodować hamulca ręcznego

    Witam, mam problem z zakodowaniem hamulca ręcznego. Teraz sterownik 53 EPB ma kodowanie 0010000 i przy próbie kodowania zawsze odrzuca kod (nie dlatego że jest nie właściwy tylko po prostu nie zmienia aktualnego ) Próbowałem różnych kodowań vcds-en, vcp i nawet Odisem Online i też bez żadnego rezultatu. Może spodkał sie ktoś z takim problemem i może...

    Samochody Elektryka i elektronika   26 Wrz 2015 18:13 Odpowiedzi: 10    Wyświetleń: 4563
  • VW Golf VI 1.6MPI - Przepustnica, kontrolki EPC, check, ESP, błędy na VCDS

    Witam Proszę o analizę logów i poradę, od mechanika dostałem informację żeby kupić nową przepustnicę (a tania nie jest), dlatego pierwsze wyczyściłem starą, zaadaptowałem, następnie wysłałem starą do regeneracji, później kupiłem używkę z demontażu- niestety wszystko bez zmian- dlatego chciałem się upewnić czy to napewno przepustnica. Objawy: - kontrolka...

    Samochody Elektryka i elektronika   08 Sie 2018 11:54 Odpowiedzi: 6    Wyświetleń: 17694
  • GOLF V - wspomaganie + ESP

    Wiki ross-tech: Basic Setting Sensor for Steering Angle (G85) Prerequisites: Start the vehicle. Turn the steering wheel one turn to the right and one turn to the left. Drive in a short distance straight line on a level surface at a speed not higher than 20 km/h. If the steering wheel is straight during the test drive then stop the vehicle with the wheels...

    Samochody Początkujący   04 Lis 2016 18:43 Odpowiedzi: 19    Wyświetleń: 9786