REKLAMA

bramki projekt

Znaleziono około 2482 wyników dla: bramki projekt
  • Projekt... potrzebny przerzutnik rs ale jaki?? pomocy

    Witam! Mam problem z dobraniem odpowiedniego przerzutnika rs do mojego projektu. Projekt to licznik przebiegów prostokątnych od 0 do 99 z opcja liczenia tzw. "z palca" (przycisk po którego naciśnięciu zmienia się wyświetlana liczba, rośnie o jeden) i tu mam problem. Wykorzystałem licznik '192 i dekoder '47 wszystko działa ok liczy .. ale przy naciskaniu...

    Projektowanie Układów   01 Kwi 2006 14:50 Odpowiedzi: 1    Wyświetleń: 963
  • REKLAMA
  • Eagle - Układy scalone, bramki logiczne.

    Zwróć uwagę, że bramki, inwertery, przerzutniki i inne wieloczęściowe układy scalone po wstawieniu np. bramki na planszę projektową mają oznaczenia U1A, U1B, itd. Gdy bramki z pierwszego układu scalonego zostaną wykorzystane to następne będą miały oznaczenie U2A, U2B, itd. Ma to na celu uproszczenie tworzonego schematu. Zamiast prowadzić połączenia...

    Programy EDA   10 Lut 2017 16:57 Odpowiedzi: 3    Wyświetleń: 2934
  • [14.05.2020, webinarium]Każdy klucz potrzebuje sterownika bramki.

    Każdy klucz w systemie elektronicznym potrzebuje swojego sterownika, a odpowiedni sterownik może sprawić sporą różnicę. Zintegrowane rozwiązania sterowników bramek zmniejszają złożoność projektu, czas jego opracowywania, ilość komponentów i zajmowaną przestrzeń na płycie, a jednocześnie zwiększają niezawodność w porównaniu do dyskretnych rozwiązań...

    Wydarzenia   02 Maj 2020 22:13 Odpowiedzi: 0    Wyświetleń: 750
  • Bramki logiczne. Jak projektować układy na bramkach?

    Moze mi ktoś wytłumaczyc albo dac linka jak projektowac układy na bramkach jak jest dana funkcja mniej wiecej wiem oco chodzi ale jak unas gosciu nastawiał tych negacji tyle to juz *** moze sie ktos orjetuje ?? Prosze was !!!

    Początkujący Elektronicy   09 Mar 2005 20:53 Odpowiedzi: 3    Wyświetleń: 2590
  • REKLAMA
  • Bramki open colector. Projekt miernika fazy. Jakie bramki?

    Próbuję zrobić miernik fazy, gdzie na wyjściu jest bramka exor i z wyjścia tej bramki wynik podawany jest na miernik uśredniający. Problem w tym, że miernik ten jest analogowy i muszę mieć układ zerowania oraz kalibracji. Wiem, że można do tego użyć bramek open colecotr albo bramek trójstanowych, ale jakoś nie potrafię tego zaprojektować i nie widzę...

    Początkujący Elektronicy   25 Cze 2008 06:54 Odpowiedzi: 2    Wyświetleń: 1430
  • Układy kombinacyjne. Projekt płytki do badania układów.

    skoro tak , to mozesz wpakowac uklady z podstawowymi bramkami (NOT, NAND, NOR, EXOR,..) na wejsciach przelaczniki + LEDy (ustawianie wejsc) i na wyjsciach LEDy (stan wyjsc) z mozliwoscia laczenia ze soba poszczegolnych bramek.

    Początkujący Elektronicy   31 Mar 2005 18:42 Odpowiedzi: 10    Wyświetleń: 2730
  • REKLAMA
  • projekt z techniki cyfrowej - POMOCY

    Chodzi pewnie o to że musisz tak przekształcić funkcje która otrzymales tak aby zrealizowac ją na jednym typie bramek, np NAND.

    Początkujący Elektronicy   22 Sty 2006 15:59 Odpowiedzi: 8    Wyświetleń: 1573
  • projekt instalacji elektrycznej

    gadu gadu a rdza bramkę żre :D

    Elektryka Instalacje i Sieci   01 Cze 2006 19:22 Odpowiedzi: 19    Wyświetleń: 14161
  • Projekt rejestru mnożącego i dzielącego

    no to potrzebujesz rejestr przesuwny dwukierunkowy z dwoma bramami magistralowymi (z wyjściami trójstanowymi np. 74ls244) plus trochę bramek sterujących.

    Początkujący Elektronicy   23 Lis 2007 09:44 Odpowiedzi: 2    Wyświetleń: 864
  • Projekt z PUCa - jakieś pomysły?

    Układy muszą być zbudowane bez microkontrolerów-procesorów to będzie wykorzystane w następnym projekcie z innego przedmiotu. Tu do dyspozycji mam podstawowe układy cyfrowe jak liczniki, rejestry, podstawowe pamięci, sumatory, bramki itp.

    Początkujący Elektronicy   20 Lut 2009 17:37 Odpowiedzi: 6    Wyświetleń: 1185
  • Wyzwalanie bramki antykradzieżowej

    Witam. Muszę przeprowadzić badanie nad bramką antykradzieżową (projekt systemu zabezpieczeń). Czy znacie jakieś sposoby wyzwalania bramek z pewnej odległości. Interesują mnie bramki na 58 kHz. Bardzo bym prosił o informacje. Jeśli temat jest wbrew regulaminowi to proszę o usunięcie. pozdrawiam.

    Zabezpieczenia Stacjonarne   10 Maj 2011 10:24 Odpowiedzi: 0    Wyświetleń: 1172
  • projekt fotocela - co zrobiłem nie tak?

    Sprawdź gdzie masz anodę katodę i bramkę w katalogu.

    Początkujący Naprawy   05 Paź 2013 09:36 Odpowiedzi: 8    Wyświetleń: 1974
  • Projekt woltomierza cyfrowego - na czym zbudować ?

    Witam Chciałbym zbudować woltomierz cyfrowy ale nie wiem na czym. Układy ICL nie spełniają moich wymagań bo chciałbym aby woltomierz mierzył w ciągu ¼ sekundy lub szybciej. Macie jakiś gotowy projekt - byłbym wdzięczny za pomoc. Może też być skomplikowany układ na bramkach logicznych.

    Projektowanie Co i Gdzie Kupić ?   04 Gru 2015 16:16 Odpowiedzi: 6    Wyświetleń: 2124
  • Podróż projektów Navitasa w krainie urządzeń półprzewodnikowych z GaN

    Firma Navitas Semiconductor to główny dostawca urządzeń zasilających z azotku galu (GaN) na rynku konsumenckim. Marka zaprezentowała swoją najnowszą ofertę na targach CES 2023 w Las Vegas. Te urządzenia oparte na GaN rozciągały się od 20-watowych ładowarek do telefonów komórkowych, przez zasilacze do centrów danych o mocy 2 kW. A także ładowarki do...

    Newsy   17 Lut 2023 11:47 Odpowiedzi: 0    Wyświetleń: 294
  • REKLAMA
  • Synopsys i Analog Devices razem przyspieszają projektowanie systemów zasilania

    Kompleksowe i wydajne modelowanie zarządzania energią elektryczną jest niezwykle istotne w zastosowaniach motoryzacyjnych, lotniczych i przemysłowych. Firmy Synopsys, Inc. i Analog Devices, Inc. ogłosiły swoją współpracę, która ma na celu dostarczanie bibliotek modeli dla układów DC/DC i regulatorów µModule (mikromoduły) dla produktów tej drugiej...

    Newsy   01 Cze 2022 07:10 Odpowiedzi: 0    Wyświetleń: 384
  • DIY od pomysłu do gotowego urządzenia - cz. 2 czyli wstępny projekt

    W układ synchronizujący lampę błyskową i aparat Sony A5100 z wykorzystaniem gniazda wielofunkcyjnego tego aparatu . Układ będzie działać też jako zewnętrzny spust dla aparatu z licznymi, dodatkowymi funkcjami. Ze wstępnego śledztwa opisanego w poprzednim odcinku wynika, iż przycisk spustu w aparacie i przycisk spustu zewnętrznego są połączone równolegle,...

    Poradniki Elektronika   14 Sty 2022 11:00 Odpowiedzi: 8    Wyświetleń: 2088
  • [Zlecę] Projekt sterownika silnika DC oraz BLDC z ekranem dotykowym

    Nasza firma zleci zaprojektowanie następującego urządzenia: Sterownik prędkości obrotowej silnika szczotkowego DC oraz bezszczotkowego BLDC. Urządzenie ma być zamknięte w możliwie najmniejszej obudowie ale rozmiar płytki PCB nie może być większy niż 130x80mm. Do sterowania prędkością obrotową oraz wyświetlania wyników pomiarów będzie służył wyświetlacz...

    Projektowanie Bazar   27 Cze 2016 09:56 Odpowiedzi: 0    Wyświetleń: 2181
  • Izolowany sterownik bramki tranzystora - co, jak i dlaczego

    Moduł IGBT czy tranzystor MOSFET to napięciowo kontrolowane urządzenie półprzewodnikowe służące do sterowania przepływem prądu elektrycznego w systemach sterowania oświetleniem, silnikami, zasilaczach impulsowych i wielu innych. Bramka takiego elementu jest galwaniczne odizolowana od pozostałych dwóch wyprowadzeń układu (źródła i drenu w przypadku FETa...

    Artykuły   10 Mar 2022 21:04 Odpowiedzi: 4    Wyświetleń: 4545
  • Jak w układach CPLD ALTER'y zaprogramować daną bramkę w ....

    Witam Czy wie ktoś z szanownych koleżanek i kolegów jak w układach CPLD ALTER'y zaprogramować daną bramkę w konkretnej makrokomórce. Pracuję w MAX+Plus II i potrzebuję zrobić linię opóźniającą wewnątrz "kości" np. EPM7064. Chcę przepuścić sygnał przez dane konkretne bramki w strukturze i zasymulować to w MAX+Plus II. System ten przewiduje element typu...

    Mikrokontrolery   13 Paź 2003 21:32 Odpowiedzi: 3    Wyświetleń: 1408
  • Projekt zegara TTL z budzikiem

    Jest to projekt zegara na układach TTL z budzikiem. Wstęp Zbudowałem go do szkoły, jako model dydaktyczny. Dosyć dawno temu to było, bo przed wakacjami w maju, jeszcze wtedy byłem w trzeciej klasie technikum, ale teraz dopiero zdecydowałem się go pokazać Fachowcom do oceny. Nauczyciele tego nie potrafili ocenić zbytnio i powiedzieć co jest nie tak,...

    DIY Konstrukcje   28 Wrz 2011 23:14 Odpowiedzi: 17    Wyświetleń: 17793
  • Dobór cewek sprzężonych do wymagań projektowych.

    Witam, Planuję nawinąć transformator (cewki sprzężone 1:1) którym będę sterował kluczem tranzystorowym. Generator sygnału sterującego to mikroprocesor, jest podłączony pod uzwojenie pierwotne (napięcie 3.3V , prąd maksymalny 20mA) Uzwojenie wtórne : dwie równolegle podłączone bramki tranzystorów NMOS. Sygnał sterujący: To impuls napięcia 3.3 V o długości...

    Początkujący Elektronicy   26 Maj 2014 15:43 Odpowiedzi: 3    Wyświetleń: 876
  • Jak projektować płytki drukowane PCB - część 6 - EMI w przetwornicach

    Projekt płytki drukowanej często determinuje sukces lub porażkę projektu, szczególnie takiego jak zasilacz impulsowy. Determinuje on nie tylko zachowanie funkcjonalne układu, ale także jego parametry elektromagnetyczne (EMI) i termiczne. Jest to szczególnie istotne w przypadku zasilaczy impulsowych, jednakże często projektanci tych układów nie przywiązują...

    Artykuły   28 Mar 2019 10:45 Odpowiedzi: 5    Wyświetleń: 4248
  • To parzy! czyli kilka słów o projektowaniu układów CMOS

    Niektórzy myślą, że projektowanie układów cyfrowych, szczególnie z wykorzystaniem technologii CMOS i BiCMOS, to prosta sprawa. Jednakże, jak większość rzeczy w życiu, tylko dla profesjonalistów którzy spędzili nad tym wiele lat to takie proste. No ale czy w układach cyfrowych nie chodzi po prostu o poskładanie ze sobą bramek po kolei? Niestety nie....

    Artykuły   03 Lip 2012 10:01 Odpowiedzi: 0    Wyświetleń: 3996
  • Projektowanie systemów zasilania dla przetworników ADC - część 3

    W poprzednich częściach cyklu poświęconego systemom zasilania przetworników analogowo-cyfrowych ( Rysunek 1 pokazuje krzywą wydajności przykładowych przetworników DC-DC. Rys. 1a: Wykres wydajności konwersji przetwornika DC-DC TPS62080. Rys. 1b: Wykres wydajności konwersji przetwornika DC-DC TPS62080. Analizując powyższe wykresy warto zwrócić uwagę na...

    Artykuły   06 Sty 2016 17:02 Odpowiedzi: 1    Wyświetleń: 2634
  • Sterowanie bramką tranzystora polowego - tips&tricks

    Gdy nasza aplikacja potrzebuje potrzebuje tranzystora MOSFET o napięciu pracy większym niż 50 V lub też rezystancji kanału poniżej kilku miliomów musimy posłużyć się rozwiązaniem dyskretnym, gdyż nie istnieją scalone przełączniki tego rodzaju. W takiej sytuacji zaprojektować musimy nie tylko sam układ oparty o tranzystor FET, ale także odpowiedni sterownik,...

    Artykuły   13 Lip 2016 08:43 Odpowiedzi: 5    Wyświetleń: 4503
  • Schemat impulsatora schodkowego do projektu

    Chciałbym się dowiedzieć co dokładnie robi każdy element w ostatnim układzie na tranzystorze JFET (za co odpowiada każdy element w tym układzie), skąd są akurat takie wartości poszczególnych elementów oraz co oznaczają liczby w nawiasach (np.:PULSE -10 10 0 1u 1u 1u 50u albo SINE 0 5 1k). R1 symuluje rezystancję źródła, taka wartość jak jest nie wpływa...

    Początkujący Elektronicy   21 Cze 2019 14:45 Odpowiedzi: 13    Wyświetleń: 1086
  • Szczytowy prąd izolowanych sterowników bramki tranzystora

    Jedno z często zadawanych pytań przy wyborze sterownika bramki dotyczy prądu szczytowego, jaki może dostarczyć dany układ. Prąd szczytowy jest jednym z najważniejszych parametrów w karcie katalogowej sterownika bramki tranzystora. Metryka ta jest generalnie traktowana jako podstawa dla szacowania siły sterowania bramki. Czas włączenia i wyłączenia tranzystora...

    Artykuły   20 Lis 2020 00:00 Odpowiedzi: 2    Wyświetleń: 5613
  • Tworzenie wykresów NF i gęstości prądu w rytmie dla projektu CMOS LNA

    (at)ElektrodaBot Cześć, przesyłam to z Reddita, ponieważ nie otrzymałem tam pełnej odpowiedzi. Zaczynam projektować wielostopniowy różnicowy LNA w procesie CMOS. Podręczniki (np. autorstwa Voinigescu) sugerują, że pierwszy stopień musi zawsze mieć najniższy współczynnik NF, co wynika z równania Friisa dotyczącego kaskadowych bloków wzmacniacza. Rozumiem....

    Nauka Elektroniki, Teoria i Laborki   04 Sty 2024 08:25 Odpowiedzi: 5    Wyświetleń: 231
  • Dyskusje o projektowaniu. Budowa przetwornic.

    W kwestii formalnej: ładunek całkowity bramki nie zalezy w istotnym stopniu od prądu drenu. Przy szeregowym rezystorze 10 om prąd przeładowujący bramkę MOSFETa dość szybko maleje i w kluczowym momencie wynosi już tylko 0.5A. Dla 100 nC trzeba się liczyć z czasem przełączania rzędu 200 ns. Wydaje sie to dużo i rzeczywiście będzie to powodowało podgrzewanie...

    Układy Zasilające   04 Lis 2006 17:42 Odpowiedzi: 62    Wyświetleń: 9421
  • AVR-ISP Programator,pytania dotyczące projektowania.

    Witam ,jestem początkującym elektronikiem stąd pojawiło się kilka moich pytań podczas projektowania programatora ,a więc do sedna. Potrzebuje zaprogramować programator ISP-AVR (najlepiej w technice TTL) ,który będzie posiadał buforowanie oraz sygnalizację stanu H/L ,na każdej z czterech linii. Oto schemat ,który znalazłem na internecie i lekko zmodyfikowałem:...

    Mikrokontrolery   11 Cze 2012 09:42 Odpowiedzi: 31    Wyświetleń: 4847
  • Budowa i projektowanie sterowników silników elektrycznych

    Silniki synchroniczne, a zwłaszcza silniki krokowe i silniki z magnesem stałym, coraz częściej stosuje się do sterowania w systemach, w których wymagana jest nie tylko precyzja, ale też wysoka wydajność i wyjątkowa sprawność energetyczna. Obecna technologia sterowania silnikiem obejmuje zastosowanie mikroprocesorów w celu poprawy kontroli prędkości,...

    Artykuły   15 Lip 2020 11:33 Odpowiedzi: 9    Wyświetleń: 5157
  • Układy scalone z prądem do 35 A zwiększają gęstość mocy i upraszczają projekty

    Firma EPC wprowadziła właśnie na rynek swój najnowszy układ scalony z rodziny ePower Stage, który integruje w sobie kompletny półmostkowy stopień mocy oparty na elementach GaN, zdolny do pracy z prądem maksymalnym do 35A przy przełączaniu z częstotliwością równą 1 MHz. Nowa jednostka działa z napięciem do 100V przy prądzie 35A. Całość została zaprojektowana...

    Newsy   16 Wrz 2022 20:03 Odpowiedzi: 0    Wyświetleń: 492
  • Konfigurowanie bramki internetowej https://portal.telesign.com

    TO co widzisz na stronie to instrukcja jak używać bramki. Na górze masz informację, jak zainstalować pakiet SDK (Software Development Kit) NPM (node.js / javascript) dla wybranej bramki. To coś w rodzaju biblioteki dla kodu JavaScript. Widać, że bramka wymaga po prostu swojego SDK, co upraszcza komunikację kodu z nią. Dale niżej masz informacje o kawałku...

    Komputery Początkujący   10 Sty 2023 14:03 Odpowiedzi: 3    Wyświetleń: 147
  • projektowanie przerzutników

    Czy istnieje jakiś sposób do zaprojektowania np Master Slave'a JK na bramkach???? Mi z grafu wychodzą nadmiarowe bramki... :/ A niestety profesorek sam nie wiem jak to zrobić.. każe zapamiętać kilkanaście bramek :/ Macie jakieś pomysły???

    Początkujący Elektronicy   04 Gru 2006 12:28 Odpowiedzi: 4    Wyświetleń: 1824
  • [Praca]Inżynier Elektronik - Projektant - Energoelektroniczne Układy PCB

    Poszukujemy osób na stanowisko: I NŻYNIER ELEKTRONIK - PROJEKTANT - ENERGOELEKTRONICZNE UKŁADY PCB OPIS STANOWISKA: * projektowanie i oprogramowanie układów elektronicznych (hardware+software) do wykorzystania w aplikacjach typu BMS dla technologii baterii Li-lon, w szczególności sprzętowe i programowe układy odcięcia zasilania, układy antyzwarciowe...

    Ogłoszenia Elektronika   08 Sie 2013 11:50 Odpowiedzi: 0    Wyświetleń: 1146
  • Bramka sieci LoRaWAN (Opis i zdjęcia)

    LoRaWAN? to sieć dużego zasięgu o niskim poborze mocy (LPWAN - Low Power WAN), która dedykowana jest do wykorzystania z bezprzewodowymi, zasilanymi bateryjnie urządzeniami Internetu Rzeczy w skali sieci regionalnej, państwowej, czy nawet globalnej. LoRaWAN spełnia podstawowe wymagania systemów IoT zapewniając bezpieczną, mobilną komunikację dwukierunkową...

    DIY Zagranica   05 Wrz 2016 21:29 Odpowiedzi: 24    Wyświetleń: 13698
  • Napięcie samowzbudzenia bramki 7400

    Koledze chyba chodzi o zatrzaskiwanie się bramek przy impulsach krótkotrwałych ( ns ) tożsamych z przepięciami zwłaszcza pochodzenia indukcyjnego czy zwiększonego poboru prądu przy ścieżkach o małej powierzchni. Zazwyczaj jest on ściśle związany z prądami oraz czasami przełączania bramek głownie 3 stanowych, niemniej 2 stanowe oparte na tranzystorach...

    Początkujący Elektronicy   29 Paź 2017 13:48 Odpowiedzi: 3    Wyświetleń: 1044
  • Mirley Mosfet 100W modyfikacja Holton''''a - Brak napięcia bramek

    Wzmacniacz zagrał, pięknie bez zakłóceń. W moim przypadku problemem były te rezystory 22ohm które zastąpiłem 0.33ohm. Rezystor R13 po ustawieniu prądu spoczynkowego przestał się niemiłosiernie grzać, na bramki tranzystorów T3 i T11 popłynął prąd. Wzmacniacz pracuje niezwykle równo, nie zniekształca. Będę rozwijał projekt zamawiam części na drugi "blok"....

    Audio Początkujący   08 Kwi 2019 15:22 Odpowiedzi: 24    Wyświetleń: 840
  • 7408 - sterowanie tranzystora darlingtona, a wydajność prądowa wyjścia bramki.

    Jeszcze widzę tu trzecią możliwość, zamienić oporniki w bazach ze 100omów na 67-56omów, ale zanim dokonałbym tej małej przeróbki to włączę układ na dłużej i zobaczę czy 7408 nie grzeje się jakoś, w sumie drugą płytkę mogę zmodyfikować jeszcze spokojnie, do sterowania MOSFETów przydałaby mi się bramka z otwartym kolektorem, a raczej nie przyjdzie mi...

    Początkujący Elektronicy   19 Gru 2019 18:23 Odpowiedzi: 10    Wyświetleń: 576
  • Modelowanie 4 bramek logicznych AND 7409 z otwartym kolektorem w KTechLab na Arch Linuxie

    Witam, potrzebuję pomocy z moim projektem. Otóż otrzymałem zadanie zamodelowania w KTechLabie (pracuję na Arch Linuxie) Bramki logicznej AND 7409, to znaczy 4 bramki logiczne AND z otwartym kolektorem. Cała trudność zadania polega na tym że nie mam wykorzystać bramek logicznych jako bloczków jako takich a każda z nich ma zostać stworzona za pomocą rezystorów...

    Nauka Elektroniki, Teoria i Laborki   24 Kwi 2021 14:59 Odpowiedzi: 4    Wyświetleń: 396
  • projekt koderów i dekoderów dwójkowych liczb dziesiętnych

    cze nie mam zwyczaju aby inni ludzie rozwiązywali mi jakiekolwiek zadania ale niestety dzis musze sie Was poradzic poniewaz nie mam pojecia o koderach i dekoderach a w szczególnosci o projektowaniu ich.Robie to dlatego ze mnie kolega poprosił.A mam dokładnie taki temat zadania: "projekt koderów i dekoderów dwójkowych liczb dziesiętnych z zakresu 0 -...

    Początkujący Elektronicy   03 Gru 2005 18:53 Odpowiedzi: 2    Wyświetleń: 1617
  • Sterowanie diody LED z dwóch bramek TTL

    Witam Mam pewien problem z projektem. Musze wstawic diode pomiedzy dwa wyjscia ukladow TTL. Chodzi o to, aby taka dioda zapalila sie gdy na wyjsciu obu ukladow bedzie "1". Nie bedzie dla mnie problemem jesli dioda bedzie wykrywac stan przeciwny (to dosc rozsadne - z jednej strony 1, z drugiej 0 i dioda swieci). Niestety niepokoja mnie prady tam plynace....

    Początkujący Elektronicy   29 Sty 2006 13:15 Odpowiedzi: 1    Wyświetleń: 885
  • Przerzutnik RS - projekt. Na układach CMOS.

    Na bramkach NAND jest zbudowany przerzutnik w logice ujemnej (nie)R(nie)S. Natomiast przerzutnik RS zbudowany jest z dwóch bramek NOR (np. układ 4001): Pozostałe nieużywane wejścia bramek nie mogą "wisieć w powietrzu" muszą być do czegoś zwarte albo do masy albo do zasilania tak jak pisał poprzednik. Pozdrawiam PS. Polecam ksiażkę "Układy cyfrowe" -...

    Początkujący Elektronicy   10 Maj 2006 08:41 Odpowiedzi: 3    Wyświetleń: 4093
  • Przerzutnik JK - projekt. Na układach CMOS.

    Witam koledzy mam jako projekt wykonać na układach CMOS przerzutnik JK. Jeżeli ktoś miał z tym styczność to zadowole się wszystkim, przerzutnik ma byc wykonany na bramkach, synchronizowany zegarem niestety nie mam zielonego pojęcia jak to wykonać w praktyce więc przydałby mi się sprawdzony schemat ideowy. Za wszelką pomoc wielkie dzięki. Jak ktoś posiada...

    Początkujący Elektronicy   16 Maj 2006 20:34 Odpowiedzi: 2    Wyświetleń: 4160
  • Wzmacniacz 1000W MOSFET całkiem symetryczny - nowy projekt.

    Bramki podłączyłem do GNDG i GNDD po długim namyśle. Napięcie stopni sterujących będzie dość wysokie przy maksymalnym wysterowaniu spowodowane separacją diodową od napięć zas. tranzystorów mocy. Kondesator na zasilaniu+ stopni wej. podładowany będzie gdy obciążona jest strona ujemna i na odwrót. W zasilaczu impulsowym będzie stabilizacja sumy napięć,...

    Projektowanie Układów   12 Lut 2007 21:52 Odpowiedzi: 42    Wyświetleń: 15700
  • Układy cyfrowe PROJEKT. Generator fali prostokątnej. Układ sterowania ogrzewania

    Witam Mam do wykonania dwa projekty zwracam sie z prośba jeśli ktoś ma jakieś linki, wiadomości, schematy ,prośże podajcie linki . Tematy moich projektów: 1. Układ generatora fali prostokątnej z rezonatorem kwarcowym na bramkach 2. Układ sterowania ogrzewania działającego według wymogu:włącz-wyłącz grzejnik zgodnie z nastawami czasowymi (zegar czasu...

    Początkujący Elektronicy   05 Mar 2007 10:59 Odpowiedzi: 1    Wyświetleń: 1704
  • Kolorofon. Prezentacja projektu.

    ..."nawet na minusach"... Chyba chodziło Ci o BRAMKI? Poza tym nie o to chodzi, by zaizolować doprowadzenia,raczej o sposób montażu- taki by uniemożliwić nawet w skrajnie nieprawdopodobnych (na pozór)przypadkach nie doszło do przebicia napięcia sieciowego na masę - obudowę urządzenia.(Płyta czołowa jest z blachy,i chyba dolna też...?) Jeśli mimo wszystko...

    DIY Zagranica   18 Sty 2008 18:00 Odpowiedzi: 21    Wyświetleń: 9104
  • Sterowanie szlabanem - projekt.

    Muszą być rezystory do masy od wejść bramek, bo po rozwarciu styku, bramka będzie długo w stanie 1 (naładowana pojemność montażowa i bardzo duża rezystancja wejścia cmos). Ta pojemność się musi przez coś rozładować. Daj tam po 10 kΩ do masy od każdego wejścia. U1B jest zbędna, bo B1 rozwiera się po dojechaniu do pozycji otwarte (no chyba, że jest...

    Początkujący Elektronicy   04 Mar 2008 17:01 Odpowiedzi: 15    Wyświetleń: 5502
  • Układy Cyfrowe - Projekt. Czy to jest dobrze zrobione?

    Zaprojektować układ, który w oparciu o wewnętrzny generator o częstotliwości ok. 10kHz ma generować przebieg przedstawiony poniżej w postaci "tekstowej" (jest na nim 5 okresów generatora wewnętrznego). Przedstawiony fragment ma być "w kółko" powtarzany. Tak oto wykonałem ten projekt, na liczniku 74193. (5) Po wykryciu czwórki (piątej liczby) następuje...

    Początkujący Elektronicy   08 Cze 2009 10:28 Odpowiedzi: 2    Wyświetleń: 1550
  • Detektor elektrostatyki [projekt]

    Elektryczność statyczna / Detektor jonów ujemnych Ten układ oparty jest na dodatkowej wysokiej impedancji wejściowej tranzystora polowego (FET). Ważne jest aby nie wykonywać układu na płytkach drukowanych, takie materiały zmniejszą skuteczną impedancje bramki. Zamiast używać „otwartej” konstrukcji można lutować razem wszystkie elementy....

    Artykuły   03 Lis 2009 21:47 Odpowiedzi: 3    Wyświetleń: 1967
  • Projekt matrycy LED od podstaw...

    Jest szansa że masz wystarczająco "oleju" w głowie i dasz radę. Niestety, niewielu jest takich. Dla przyspieszenia szukania podam dwa linki. Schemat bez uP: Zabezpieczenie przed wypalaniem pikseli i regulacja jasności w jednym: Schemat z wyświetlaczami, ale kawałek regulacji jasności pasuje też do tablicy. Aby działał przy 5V, potrzeba zastosować tranzystor...

    Początkujący Elektronicy   11 Mar 2010 14:19 Odpowiedzi: 7    Wyświetleń: 10465
  • Bramka SMS w C++, pytania

    Witam, mam w planach zrobienie bramki sms takich sieci jak Orange, Plus, Era na platformę Linux. Trochę poczytałem i posiadłem takie informacje, Orange wykorzystuje obrazkowe tokeny, Plus limit sms na jedno ip, Era wymaga założenia konta. Program ma działać na prostej zasadzie "wypełnij i wyślij" czyli szybkie pisanie sms`ów, zasada"wiw" działa w zwykłych...

    Programowanie   18 Lis 2009 18:52 Odpowiedzi: 2    Wyświetleń: 1759
  • [Eagle] LM324 jak poprawnie dodać do projektu.

    Jak już poprawnie dodasz wzmacniacze na pulpicie, to istnieje możliwość zamiany położenia dwóch wzmacniaczy (bramek) na schemacie. Używasz wtedy narzędzia Gateswap . Zaznaczasz te które chcesz zamienić i wtedy wzmacniacze (bramki) zamienią się miejscami.

    Programy EDA   21 Paź 2010 00:17 Odpowiedzi: 6    Wyświetleń: 5799
  • [AD] Projekt wieloarkuszowy i komponenty wieloczęściowe

    Jak wybierasz part z biblioteki to po lewej stronie obok nazwy komponentu masz plus naciskasz go i wybierasz np bramki. Tu pokazuje Ci na przykładzie jakiś rezystorów Osobiście nie polecam Altiuma ani Eagla ale to tylko moja opinia

    Programy EDA   16 Lip 2012 00:39 Odpowiedzi: 8    Wyświetleń: 2156
  • CMOS bramki logiczne na CD4026

    Witam, mam mały problem z bramkami logicznymi Chodzi o to że mam dwa układy CD4026 (liczniki dziesiętne przystosowane do wyświetlaczy 7-seg) (1,2) sterujące dwoma wyświetlaczami 7-seg. Chcę zrobić tak, że gdy liczby na wyświetlaczach są takie same (wyświetlacze są niezależne) to otrzymuję sygnał wysoki (5), który posłuży mi w dalszych etapach projektu....

    Początkujący Elektronicy   05 Lis 2015 17:54 Odpowiedzi: 20    Wyświetleń: 1503
  • Projektowanie zasilaczy impulsowych z dużym napięciem wejściowym

    W aplikacjach takich jak inteligentne liczniki zużycia energii elektrycznej czy kontrolery silników elektrycznych, systemy zasilania muszą przetwarzać wysokie, zmienne napięcie wejściowe do niskiego napięcia DC, umożliwiającego zasilanie mikrokontrolerów czy modułów IGBT. Na przykład napięcie trójfazowe, bardzo często spotykane w sieci energetycznej...

    Artykuły   05 Gru 2015 11:42 Odpowiedzi: 0    Wyświetleń: 3657
  • EPM240 - pierwszy projekt licznika 8-bitowego w Quartus

    Witam, Rozpoczynam właśnie swoją przygodę z układami FPGA/CPLD. Postanowiłem zacząć od najprostszych rzeczy, aby poznać środowisko Quartus i ogólną ideę tworzenia projektów. Bramkami już się trochę pobawiłem, więc postanowiłem zbudować prosty licznik 8-bitowy za pomocą dwóch układów 74163. Gdy użyłem tylko jednego bloku 74163 licznik działał poprawnie...

    Programowalne układy logiczne   28 Mar 2017 21:08 Odpowiedzi: 5    Wyświetleń: 2814
  • Webinarium: podstawy pomiarów sygnałów bramek

    Analog Devices zaprasza na internetowe seminarium poświęcone projektowaniu i testowaniu układów wykorzystujących izolowane drivery bramek tranzystorów polowych. Webinarium dedykowane jest głównie dla projektantów tego typu układów, ale także na osób zaangażowanych w testowanie i uruchamianie takich systemów. Wraz z zwiększającym się zapotrzebowaniem...

    Newsy   14 Maj 2017 13:20 Odpowiedzi: 0    Wyświetleń: 2322
  • Przegląd driverów bramek MOSFETów

    Wzrost zastosowania sterowników silników, inteligentnych sieci przesyłowych i technologii inteligentnego domu, a także zwiększone zapotrzebowanie na urządzenia wysokiego napięcia, przyczyniły się do wzrostu światowego rynku sterowników bramek tranzystorów MOSFET i modułów IGBT mocy. Kompletny system sterowania silnikiem elektrycznym obejmuje zasilacz...

    Artykuły   25 Sty 2020 09:28 Odpowiedzi: 2    Wyświetleń: 2952
  • Jaki tranzystor przed IRFZ44 do nasycenia bramek przy łączeniu równoległym?

    Kierując się w pierwszym rzędzie maksymalnym prądem mosfeta a nie jego mocą maksymalną.... Maksymalny prąd MOSFETa to jest parametr marketingowy, osiągalny tylko w laboratorium ze specjalnymi sposobami chłodzenia, nawet nóżki są chłodzone :) Przy normalnym użyciu MOSFETa jako klucza nigdy nie patrzę na prąd maksymalny i moc maksymalną, bo te parametry...

    Początkujący Elektronicy   05 Cze 2020 14:47 Odpowiedzi: 4    Wyświetleń: 537
  • Bramka komórkowa IoT w wersji przemysłowej, bezpieczeństwa i dla transportu

    Podoba mi się projekt obudowy. Podobny do mojego projektu. Ale my stosujemy procesory firmy Sunplus.

    Newsy   06 Lut 2022 08:43 Odpowiedzi: 1    Wyświetleń: 432
  • Wszystko, co musisz wiedzieć o procesie produkcji chipów z dookólną bramką (GAA)

    Proces produkcji półprzewodników z bramkami dookólnymi (pokroju gate-all-around (GAA)), znany również jako technologia tranzystorów polowych typu GAA-FET, pokonuje ograniczenia wydajności techniki FinFET, zmniejszając poziom napięcia zasilania i zwielokrotniając sprawność układu, dzięki wzmocnieniu w możliwościach prądowych sterowania. Krótko mówiąc,...

    Artykuły   03 Sty 2023 09:59 Odpowiedzi: 0    Wyświetleń: 1092
  • Projekt przenośnego zasilacza SEPIC 5V/8W + 12V/2W z wejściem 3-15V i skutecznością 80%

    Tl;dr: - SEPIC - fsw = 200kHz - Ui = 3 - 15V - Uo = 5+12V/10W - η ≈ 80% Całość: 1. Geneza projektu Bardzo często gdy dłubałem przy jakiejś drobnej elektronice brakowało mi jakiegoś małego, przenośnego zasilacza dostarczającego 5 i 12V. Akumulator żelowy czy pakiet 3S były niepraktyczne i często niebezpieczne (co najmniej jednego żelowca zamordowałem...

    Układy Zasilające   04 Lis 2023 17:04 Odpowiedzi: 2    Wyświetleń: 162
  • projekt_do_oceny

    Całkiem słusznie! Typ 4511 jest predysponowany do sterowania displayów ze wspólną katodą, bo wyjścia dają większy prąd wypływający ("source", do 25mA), niż są w stanie sączyć ("sink", poniżej 1mA), co można wyczytać w tabelkach danych i tu leży cała tajemnica. Układy CMOS rodziny CD4xxx były projektowane na prądy wyjściowe rzędu 1mA, bo do sterowania...

    Projektowanie Układów   11 Lip 2005 23:30 Odpowiedzi: 6    Wyświetleń: 1896
  • Projektowanie układu ADPLL

    W ramach projektu większego urządzenia mam do zaprojektowania układ w pełni cyfrowej pętli sprzężenia fazowego (ADPLL). Haczyk jest taki, iż nie może być wykorzystane żadne gotowe rozwiązanie, któremu należy jedynie zadać parametry pracy (np. układ 74HC297), projektowany układ musi składać się tylko z podstawowych elementów cyfrowych (przerzutniki,...

    DSP i Transmisja   28 Gru 2007 08:43 Odpowiedzi: 1    Wyświetleń: 1260
  • Dekoder adresowy - realizacja na bramkach.

    Witam, mam pojutrze zaliczenie z przedmiotu Technika Mikroprocesorowa i musze się nauczyć projektować dekoder adresowy. Niestety elektronik ze mnie żaden i bardzo bym prosił o łopatologiczne wytłumaczenie mi tego zagadnienia :). Na zaliczeniu dostaniemy przykładową mapę pamięci: polecenie jest takie: zaprojektuj niepełny/pełny dekoder adresowy mając...

    Mikrokontrolery   15 Cze 2008 15:32 Odpowiedzi: 6    Wyświetleń: 3322
  • Spawarka na wodę, Browngas generator - pomoc w projekcie

    Witam Zrobiłem spawarkę na wodę. Mieszanka wodoru z tlenem powstała podczas elektrolizy jest podawana do palnika. Palnik jest standardowy, bez przeróbek, do spawania acetylenem i tlenem. Jest to model doświadczalny o wydajności ponad 6 L/min. Obecnie w fazie projektowej jest urządzenie o wydajności ponad 20L/min. Przedstawiam linki abyście się zapoznali...

    Projektowanie Układów   01 Cze 2009 09:05 Odpowiedzi: 3    Wyświetleń: 5348
  • Sterbox - w projekcie Inteligentnego Mieszkania

    Witam. Od pewnego czasu bawię się Sterbox-em i sporo czytam na jego temat. W większości osób chcących coś nim sterować pisze o ilości wyjść czy wejść. Nikt nie wspomina o tym, jakie możliwości daje Sterbox, aby sterować tymi wyjściami czy wejściami. Ja przeznaczyłem go głównie do sterowania akwarium i piecem. A sam projekt miał zawierać opcje włączenie...

    Smart Home IoT   21 Lip 2012 09:13 Odpowiedzi: 11    Wyświetleń: 12073
  • Jaka bramka VOIP z prostymi funkcjami PABS

    w debug.cfg trzeba dopisac start skryptow znajdujacych sie na usb. To jedyna ingerencja. W sieci jest wiele opisow jak to zrobic. Stare jak swiat, ale zasada ta sama. Mozna tez wkompilowac w firmware. Ale wtedy firmware musi byc 2-czesciowy.

    Sieci Co Kupić   12 Cze 2013 15:56 Odpowiedzi: 5    Wyświetleń: 1011
  • Bramka AND, wejścia 24VDC - jak zrealizować?

    To wyglada na jakies zagadnienie z dziedziny automatyki przemyslowej. Nie bede polecal tutaj zastosowania sterownika PLC, ale projektujac urzadzenie ktore ma funkcjonowac w takiej infrastrukturze trzeba uwzglednic galwaniczne rozdzielenie od siebie wszystkich wystepujacych sygnalow. Jezeli to projekt niekrytyczny najprosciej polaczyc ze soba 10 przekaznikow...

    Automatyka Przemysłowa   26 Sty 2015 13:54 Odpowiedzi: 4    Wyświetleń: 1026
  • Projektowanie Układów Elektronicznych > Optoelektronika projekt oświetlenie w

    1. Jeśli modułów LED jest dokładnie 10 lub mniej, możesz zastosować układ liczący 4017 sterujący modułami poprzez tranzystory NPN. Do kompletu potrzebujesz jeszcze jakiś generator zegarowy i rezystory ograniczające prąd bazy. Uzyskasz efekt w którym świeci się na raz tylko jeden z modułów, kolejno 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 1, 2, ... 2. Jeśli chcesz...

    Początkujący Elektronicy   29 Lip 2015 20:50 Odpowiedzi: 3    Wyświetleń: 744
  • Nowy sterownik bramki od Power Integrations

    Power Integrations wprowadziło właśnie do swojej oferty nowy sterownik bramki tranzystora polowego. Układ ten jest członkiem rodziny SCALA-iDriver dedykowanych do sterowania bramkami tranzystorów MOSFET oraz IGBT. SID1102K to jednokanałowy, izolowany galwanicznie sterownik bramki FETa lub modułu IGBT w niewielkiej obudowie eSOP. Nowy układ charakteryzuje...

    Newsy   01 Gru 2017 20:03 Odpowiedzi: 2    Wyświetleń: 1056
  • Projektowanie i Tworzenie - Nowy podział forum

    (at)tzok To mi sięteż nie podoba i proponowałem coś takiego.[syntax=c] |-- Komputery Serwis |-- |-- Mikrokomputery jednpłytkowe |-- |-- |-- RaspberyPi |-- |-- |-- BananaPi |-- |-- |-- Inne Projektowanie i Tworzenie |-- Projektowanie Układów |-- Mikrokontrolery (tu nie zamieszczamy próśb o gotowce ani modyfikacje pobranych z sieci programów) |-- | --...

    Projektowanie i Tworzenie Po godzinach   24 Lut 2018 11:56 Odpowiedzi: 64    Wyświetleń: 6111
  • [19.05.2020, webinarium]Wprowadzenie do projektowania systemów z SiC

    Zapotrzebowanie na tranzystory MOSFET i diody z węglika krzemu (SiC) szybko obecnie rośnie, szczególnie w sektorze motoryzacyjnym, przemysłowym i energetycznym ze względu na lepszą wydajność, wyższą gęstość mocy i niższe koszty systemu wykonanego w oparciu o elementy wykonane z tego materiału. W ramach internetowego seminarium, będzie można dowiedzieć...

    Wydarzenia   16 Maj 2020 22:12 Odpowiedzi: 0    Wyświetleń: 609
  • Przekierowanie połączeń z domofonu analogowego 2-żyłowego CYFRAL na smartfon przez bramkę IP

    To jest kierunek w jakim powinieneś podążać przy budowie bramki IP. A dokładniej to jest pierwsze 20% projektu.

    Domofony i kontrola dostępu   31 Paź 2021 19:30 Odpowiedzi: 16    Wyświetleń: 798
  • Projekt własnej latarki Convoy s2 z LED SST-40: Wybór komponentów i sterowanie jasnością

    Czy regulator będzie stratny czy nie, to sam LED wydziela znaczne ilości ciepła, Convoy S2 mimo sporej powierzchni korpusu w powietrzu może się nagrzać do temperatury takiej że nie da się dotknąć, z moich obserwacji wynika że jak się ją dobrze trzyma to temperatura jest mniejsza - widać dłoń odbiera ciepło. Pierwsze pytanie do konstruktora czołówki...

    Początkujący Elektronicy   06 Sty 2022 10:14 Odpowiedzi: 2    Wyświetleń: 222
  • Zamiana triaka BTA26/600 na BTA41/600 - czy bezpieczna? Różnica prądu bramki 35-50mA

    Dzięki za odpowiedź Interval. Wojtek M - nie chodzi o uszkodzony triak tylko o budowę układu elektronicznego. Mowa o zestawie avt 1860. Siedzi tam konkretnie BA26 a ja mam BA41 zakupiony do mocniejszego projektu.

    Początkujący Elektronicy   23 Wrz 2022 19:25 Odpowiedzi: 5    Wyświetleń: 219
  • Projektowanie układu kombinacyjnego z czujnikami naprężenia za pomocą multiplekserów

    Witam Panie Krzysztofie zrobiłem to w ten sposób : potrzebuję tylko schemat. ogólnie w zadaniu mam tak: Schemat układu cyfrowego powinien zawierać wszystkie niezbędne informacje . Symbole bramek logicznych powinny być zgodne ze standardem IEEE/ANSI. 1. Definicja problemu: Celem projektu jest zbudowanie układu kombinacyjnego, który monitoruje pięć dwustanowych...

    Nauka Elektroniki, Teoria i Laborki   14 Sie 2023 12:27 Odpowiedzi: 7    Wyświetleń: 375
  • Stanowisko laboratoryjne - projekt płyty czołowej.

    Witam. Mam na pracę dyplomową wykonać stanowisko lab. do ćwiczenia "badanie generatorów LC", mam kilka schematów i opisów (muszę napisać instrukcję do ćwiczenia), ale mam pewien problem : w jakim programie zaprojektuję najłatwiej "płytę czołową", chodzi mi o coś w stylu aby ładnie na kartce A3 rozmieścić ( i zaprojektować) schematy około 3 generatorów...

    Początkujący Elektronicy   22 Gru 2004 02:29 Odpowiedzi: 3    Wyświetleń: 1425
  • Wyłącznik czasowy na bramkach logicznych

    ten układ jest niepotrzebnie tak skomplikowany, poza tym po co az tyle wejść? nie wiem jak chcesz zapewnic w tym układzie regulacje czasu trwania impulsu. układy czasowe (przerzutniki monostabilne) buduje sie raczej z zastosowaniem kondensatorów i kilku bramek i rezystorów co zapewnia możliwość regulacji czasu. Dodano po 3 niestety nie mam skanera a...

    Początkujący Elektronicy   20 Kwi 2006 14:49 Odpowiedzi: 6    Wyświetleń: 3545
  • PomocYYY pilnie potrzebne projekty:):)

    Witam wszystkich, którzy czytają tego prosta i zwracam się z ogromna prośbą o pomoc w zaprojektowaniu kilku układów mając jedynie do dyspozycji takie układy 2-we: NAND, NOT, NOR, EX-OR; A układy do zrealizowania to: 1) Nand 4-we 2) multiplekser 2-we 3) demultiplekser 2-we 4) komparator liczb 2-bitowych 5) Dekoder liczby binarnej 2-bitowej na kod 1z4...

    Początkujący Elektronicy   21 Maj 2006 14:27 Odpowiedzi: 0    Wyświetleń: 927
  • Harfa laserowa czyli zostań JARRE'M projekt

    jego harfa wykonana jest w dokładnie taki sam sposób jedyną różnicą tam jest sposób w jaki jest projektowany laser w stoczni grał on chyba nawet na imitacji bo to był pół playback. Brałęm udział w tym oncercie od strony organizatora więc i conieco wiem na temat budowy jego urządzeń wystarczy pogadać z obsługą sceny. Ogólnie rzecz biorąć wszystko działa...

    Projektowanie Układów   19 Lip 2009 09:25 Odpowiedzi: 23    Wyświetleń: 16571
  • Robot miniSumo - Projekt konkursowy. Ważne pytania!

    Brakuje potencjometru do progu białej linii. Dodaj jeszcze niewielką histerezę (470K) na komparatorach. Po prostu nie zauważyłem, że usunąłem ze schematu w trakcie pozbywania się jednego lm'a ;) już poprawione. Dziwnie wybrałeś piny do których podpiąłeś białą linię - akurat tam jest ISP, a dookoła się wolne nogi "walają". Na śmierć zapomniałem o ISP,...

    Robotyka Modelarstwo i CNC   14 Lis 2008 12:15 Odpowiedzi: 94    Wyświetleń: 18245
  • Sterowanie wentylatorami, odczyt czujników Halla i szczegóły projektowe

    Zmajstrowałem sobie taki oto schemat: Wątpliwości mam przede wszystkim do sterowania wentylatorami i odczytu czujników Halla. Czy powinienem się obawiać zakłóceń generowanych przez układ sterowania wentylatorami np. na ATMegę? Złożyłem testowo jeden taki układ z tranzystorem i wiatrakiem i obraz z oscyloskopu aż kłuł w oczy od szpilek. MOSFET mimo...

    Mikrokontrolery AVR   26 Lut 2012 21:28 Odpowiedzi: 18    Wyświetleń: 8251
  • Ile jest bramek logicznych na procesorze?

    Jeżeli interesuje Cię budowa układów scalonych to poszukaj książki "Układy scalone w pytaniach i odpowiedziach" (Ćwirko, Rusek, Marciniak). Książka stara, ale dobra. Pokazuje procesy technologiczne, budowę tranzystorów scalonych, dlaczego tranzystor w pamięci EPROM jest większy od tranzystora w bramce logicznej. Żadnej pustej teorii, bardzo przyjemnie...

    Początkujący Elektronicy   13 Sie 2012 14:23 Odpowiedzi: 18    Wyświetleń: 12619
  • Interpretacja projektu wyłącznika

    Witam serdecznie .Projektowaniem zajmuje się hobbistycznie ,więc moja wiedza jest w pewien sposób ograniczona ,i nie wszystko jestem w stanie pojąć,jest tutaj wielu specjalistów,osób ,które się na tym znają dlatego liczę na odpowiedź:) szukałem trochę i znalazłem ciekawy projekt wyłącznika ,lecz nie wszytko jest dla mnie zrozumiałe . 1.Napisane w opisie...

    Automatyka Przemysłowa   07 Gru 2012 17:27 Odpowiedzi: 1    Wyświetleń: 942
  • Potrzebuje pomocy przy projektowaniu maszyny do głosowania.

    Najpierw musisz ustalić dokładny algorytm działania, trzeba rozważyć szczegóły np układ musi mieć jakąś pamięć, żeby wszyscy głosujący nie musieli trzymać klawiszy jednocześnie, albo żeby mogli zagłosować tylko raz i nie zmieniali głosów w trakcie głosowania. Reszta zależy od tego, czy chcesz coś takiego zrobić, czy wykonać projekt do szkoły, jeśli...

    Projektowanie Układów   11 Sty 2013 19:18 Odpowiedzi: 1    Wyświetleń: 1575
  • Projekt instalacji z rozdzielnią - prośba o opinię

    Robisz kolego grę do jednej bramki - szukasz naiwnego za małe pieniądze. Projektujesz, rysujesz schematy instalacji. Nawet robociznę oceniłeś na jeden dzień ! Po co ci ten elektryk ? Do wkręcenia przewodów pod zaciski - pod twoje dyktando - nie jest potrzebny. Podłącz - wkrętakiem nie potrafisz się posługiwać ? Idź pod pośredniak i zatrudnij pomocnika....

    Elektryka Instalacje i Sieci   30 Mar 2015 16:50 Odpowiedzi: 35    Wyświetleń: 4251
  • Projektowanie własnego mini komputera.

    A podstawy elektroniki kolega zna? Układy cyfrowe też (bramki, przerzutniki, rejestry)? Jeśli nie, to proponowałbym zacząć od tego. A projekty są, tak jak wspomnieli koledzy wyżej, tylko nie jest to raczej robota "na jeden wieczór" a jeden rok. Lub kilka.

    Początkujący Elektronicy   20 Kwi 2015 15:40 Odpowiedzi: 15    Wyświetleń: 1218
  • Jak osiągnąć wyższą wydajność zasilania - część 1: mocniejsze drivery bramek

    Tworzymy systemy elektroniczne w czasach, gdy inżynierowie zmuszeni są coraz bardziej zwiększać wydajność projektowanych urządzeń. Wymagania co do wydajności systemów zasilania cały czas rosną - chcemy z zasilacza pobierać w zasadzie tyle ile pobiera on z zewnątrz. Zwiększanie wydajności to praca zespołowa wielu elementów zasilacza, głównie: coraz lepszych...

    Artykuły   13 Mar 2017 20:26 Odpowiedzi: 0    Wyświetleń: 2562
  • [Sprzedam] Bramki i odbiorniki MySensors

    Mam na sprzedaż gotowe zaprogramowane i przetestowane bramki MySensors 3 szt. i jeden odbiornik MySensors. Bramkę można zastosować do systemu Domoticz na Raspberry Pi. Odbiorniki do różnych czujników, komunikacja odbywa się bezprzewodowo na 2,4 Ghz. Wykorzystanie różnych czujników opisałem na moim blogu ->

    Automatyka Bazar   21 Gru 2017 09:02 Odpowiedzi: 0    Wyświetleń: 1359
  • Jaki maksymalny prąd wejścia bramki można podać na układ CD40106B?

    Ok, to inaczej może. Załóżmy że pracuje w zakresie Tak, jeżeli wejściowe napięcie bramki mieści się w zakresie VSS - VDD, płynie wyłącznie prąd upływu obwodu wejściowego bramki, projektanci układu zatroszczyli się o to żeby był możliwie mały. Praktycznie łącząc z jakims układem, np wyjście tranzystora gdzie na wyściu będzie napięcie między 2 a 10V...

    Początkujący Elektronicy   23 Kwi 2019 18:26 Odpowiedzi: 16    Wyświetleń: 759
  • Implementacja funkcji logicznych tylko z bramek NAND lub NOR

    Konwersja obwodu logicznego, zrealizowanego przy pomocy bramek AND i OR na formę zestawioną z bramek NAND i NOR jest doskonałym ćwiczeniem, które pomoże zrozumieć podstawowe prawa logiki Boola. Poniższy artykuł podzielono na trzy sekcje. Opiera się on na zadaniu domowym pewnego studenta, który poprosił o pomoc na forum EEWeb. Sedno tego zadania jest...

    Artykuły   27 Paź 2019 19:22 Odpowiedzi: 5    Wyświetleń: 24141
  • Projekt układu do lampki ogrodowej LED z panelem 12V i TP4056

    Witam. Poszukuję pomocy w zaprojektowaniu jakiegoś układu, który funkcjonalnością nie będzie się zbytnio różnił od zwykłych marketowych lampek ogrodowych. Ma to działać na zasadzie bramki not, tzn. gdy jest prąd z panela słonecznego to dioda LED się wyłącza i na odwrót. Sama dioda z założenia ma być zasilana ogniwem Li-Ion 18650, a to ogniwo ma ładować...

    Początkujący Elektronicy   23 Maj 2020 11:47 Odpowiedzi: 3    Wyświetleń: 825
  • Projektowanie GUI i sterowanie diodami - Raspberry PI vs inne mikrokontrolery

    Linie produkcyjne wymagają niezawodności, którą osiąga się przez właściwy dobór komponentów (czujniki, sterowniki, elementy wykonawcze), części zamiennych, programu, który jest czytelny dla wszystkich odpowiedzialnych za funkcjonowanie linii oraz dokumentacji pozwalającej szybko i łatwo znaleźć problem i wprowadzać zmiany. To znacznie zwiększa koszty,...

    Początkujący Elektronicy   20 Lip 2020 13:06 Odpowiedzi: 6    Wyświetleń: 393
  • Projekt systemu LiDARowego z laserowymi pomiarami ToF z GaNową elektroniką

    Nowa rodzina układów z azotku galu (GaN) ma na celu dostarczenie nowoczesnych sensorów czasu przelotu (ToF) do autonomicznych samochodów i czujników 3D w sektorach konsumenckich i przemysłowych. W wywiadzie dla EE Times Alex Lidow, dyrektor generalny firmy EPC, podkreślił, że wprowadzenie rodziny sterowników laserowych eToF do projektowania systemów...

    Newsy   30 Wrz 2021 17:55 Odpowiedzi: 0    Wyświetleń: 534
  • Moje małe PV Off-Grid. Część 1, założenia projektowe

    Ty tam na pewno non stop zerkałeś i tak dopiero po dwóch dniach zajarzyłeś ze masz za wysokie napięcie Prawie masz rację :D. I tak siedzę na warsztacie przed komputerem (teraz też) a kilka metrów obok rozdzielnia z regulatorem. Telefon z uruchomioną aplikacją do regulatora i zerkam co kilka minut na stan. Staram się uruchomić komunikację (na razie...

    Artykuły   18 Paź 2022 08:20 Odpowiedzi: 153    Wyświetleń: 9675
  • Jak najprościej ubić FETa SiC - najczęstsze błędy projektowe

    Ciekawe, czy efekt wzbudzania nieco stłumiłoby sprowadzanie bramki tranzystora do przeciwnej polaryzacji względem jego wysterowania. Czyli stworzenia tzw. bramkowego pola negującego... Najpewniej tak, w klasycznych (krzemowych) FETach negatywne polaryzowanie bramki pozwala na szybsze jej wyłączanie jak i na "twardsze" utrzymywanie jej w stanie wyłączonym,...

    Artykuły   12 Gru 2021 22:57 Odpowiedzi: 5    Wyświetleń: 1743
  • Efekty laserowe i wykonanie projektora laserowego

    trudno o mosfeta który przy prądzie ok 120mA miał by więcej niż 2V spadku napiecie na sobie, Nie ma problemu z zakupem mosfeta z bramką na 5V

    Optoelektronika   25 Paź 2007 17:38 Odpowiedzi: 1068    Wyświetleń: 300488
  • projektowanie inteligentnych plytek

    Witam projektuje plytki w corelu, bo tylko wtedy moje plytka wyglada tka jak sobie tego zycze, probowalem juz przy pomocy eagle ale ten program jest stasznie glupi, IQ=0 - nie wymysli ze w mikrostyku (przycisk swith) 2 piny oznaczaja to samo -w funktorze jest kilka takich samych bramek i prosciej jest uzyc bramki z innego mijsca - nie wie ze jest obojetne...

    Warsztat elektronika   16 Mar 2005 00:08 Odpowiedzi: 31    Wyświetleń: 5400