REKLAMA

clock generator

Znaleziono około 529 wyników dla: clock generator
  • ClockGen - podkręcanie, Intel Pentium E2160 na P4M890T-M

    Przepraszam może bylo wiele tematów na ten temat ale żaden mi nie dopasował. Poprostu zainteresowałem się ClockGen ponieważ W Biosie nie ogarniam . Problem w tym że w PLL Control nie wyświetla mi się Pasek < można powiedzieć podkręcania> Czytałem że problem może tkwić w <Clock generator Setup> Jeżeli ktos zna rozwiązanie prosze podzielić...

    Komputery Modding & OC   20 Lip 2012 16:56 Odpowiedzi: 14    Wyświetleń: 4297
  • Generator zegarowy MEMS trafia do układów FPGA

    Firma Lattice Semiconductor, świętująca 50 lat w branży półprzewodników, wykorzystuje możliwości precyzyjnego pomiaru czasu z technologią marki SiTime, do swoich rozwiązań FPGA o niskim poborze mocy. Dostawca FPGA z Hillsboro w stanie Oregon zintegruje oparty na zegarach mikroelektromechanicznych (MEMS) — Clock-System-on-a-Chip (ClkSoC) — oraz Emerald...

    Newsy   04 Maj 2023 19:35 Odpowiedzi: 1    Wyświetleń: 477
  • Czy generator zegara może pełnić rolę kompensatora szumu fazowego

    Celem poniższego artykułu, jest pokazanie, że stwierdzenie, iż generator zegarowy nie jest w stanie czyścić sygnałów z jitteru (szumu fazowego) jest popularnym stereotypem. Nie chcemy go tutaj powielać, dlatego przedstawimy tutaj różnice pomiędzy typowymi generatorami przebiegów taktujących a układami do kompensacji jitteru. Przyjrzymy się bliżej także...

    Artykuły   25 Mar 2017 21:33 Odpowiedzi: 0    Wyświetleń: 1728
  • REKLAMA
  • Jak zbudować tzw clock ( generator sygnału prostokątnego)

    Witam. Mam problem mam zmieniarkę płyt w aucie ale potrzebuje ona z radia sygnału tzw clock ( sygnal prostokątny o amplitudzie ok 4,5 V Sygnał trwa przez 120mikro sek potem 50 mili sek przerwy i tak dalej) Nie mam zbyt dużego doświadczenia w budowie takich rzeczy Dzięki za każdą radę

    Radia Samochodowe Serwis   24 Maj 2007 11:37 Odpowiedzi: 9    Wyświetleń: 2790
  • Co to jest target clock generator??

    Witam Posiadam płytę shuttle ak 12 i chciałem lekko podkręcić porcesor z poziomu windowsa za pomocą SoftFSB ale potrzebny mi jest właśnie ten "pll" bo w liście nie ma mojej płyty. A może ktoś zna inny programik do podkręcania z poziomu windowsa??

    Komputery Eksploatacja   13 Kwi 2007 13:35 Odpowiedzi: 2    Wyświetleń: 1869
  • [Asus eeePC 1001PXD] Zamiana clock generator na inny

    Witam, Mam pytanie do obeznanych w temacie, dostałem płytę z w/w netbooka, gdzie wymieniłem BIOS + Wymieniłem CLOCK GEN. Tylko zamiast oryginalnie ICS9LPRS427CGLF na ICS9LPRS427AGLF czyli C na A. Netbook żyje, jednak nie wykrywa dysków wpiętych na SATA, wszystko oprócz tego jest ok, oczywiście generator tworzy sygnał dla interfejsu SATA stąd moje pytanie,...

    Laptopy Hardware   22 Cze 2012 12:21 Odpowiedzi: 4    Wyświetleń: 2121
  • Samsung NP-R720-AS03PL - CLOCK GENERATOR

    Witam Mam program setfsb, ale nie mogę ustalić numeru PLL, żeby troszkę podkręcić procek. Może ktoś rozebrał dokładnie tą rewizje laptopa (Samsung NP-R720-AS03PL) i zna dokładny numer PLL? Pozdrawiam Szczęśliwego Nowego Roku :-)

    Komputery Modding & OC   01 Sty 2014 14:28 Odpowiedzi: 0    Wyświetleń: 969
  • Acer D260 - Jaki Clock Generator ?

    Hej, Wiecie może jaki siedzi Clock Generatow w Acer One D260 (MB: AOD260) DDR2 Pozdrawiam.

    Laptopy Hardware   29 Sty 2014 17:47 Odpowiedzi: 1    Wyświetleń: 1077
  • REKLAMA
  • Programowalny generator zegarowy z jitterem fazy poniżej 0,7 psec

    Firma Integrated Device Technology dodała do swojej rodziny programowalnych generatorów zegarowych VersaClock 5 nowy układ 5P49V5901, z czterema uniwersalnymi parami wyjść o możliwości uzyskania częstotliwości do 350 MHz. Układ składa się z czterech różnicowych lub ośmiu jednowyjściowych generatorów zegarowych i może zapamiętać do czterech różnych...

    Newsy   18 Mar 2014 21:49 Odpowiedzi: 0    Wyświetleń: 1053
  • jaki clock generator ma płyta Foxconn 2A8Ch

    jaki clock generator ma płyta Foxconn 2A8Ch

    Komputery Hardware   08 Mar 2016 13:16 Odpowiedzi: 2    Wyświetleń: 672
  • Cyclic interrupt clock pulse generator 6

    Mam pytanie co do przerwań. Mam ustawione w swoim programie wywołanie bloku OB35 a w nim regulatora PID co 50ms a czas Scan monitoring time na 1000 ms. Mimo to wywala mi błąd "Cyclic interrupt clock pulse generator 6". Jak rozwiązać ten problem?

    Automatyka Sterowanie PLC   20 Cze 2016 22:25 Odpowiedzi: 2    Wyświetleń: 1467
  • HP Pavilion g6-1220sa clock generator

    Chciałbym się dowiedzieć jaki jest clock generator w tym laptopie. SetFSB daje mi błąd "Chipset error" i opcja GetFSB jest wyłączona. Mam 4 rdzeniowy procesor i chciałbym go trochę podkręcić jak i ram i gpu.

    Laptopy Hardware   21 Sie 2018 09:31 Odpowiedzi: 7    Wyświetleń: 417
  • altera clock input dla pll

    Mam plytke z fpga altery, ktorej wszystkie piny 'dedykowany clock' sa polaczone do zlacz SMB, takie malutkie wtyczki na kabelek koncentryczny. Jest tez kwarc, ktory zasila jeden z pinow fpga, niestety nie wejscie dedykowane dla clock. Nie moge uzyc wejscia z oscylatora kwarcowego, quartus wypisuje taki blad: Error: Can't place PLL "clks_gen_pll:comb_4|altpll:altpll_compo...

    Programowalne układy logiczne   23 Gru 2007 00:37 Odpowiedzi: 3    Wyświetleń: 1344
  • Czy synchronizacja dokona się w czasie dostępu? Generator zegara taktujący MCU.

    (at)tmf, wiem że z asf nie korzystasz, napisałem tylko, że ja się temu przyglądałem. W nocie znalazłem coś takiego na temat synchronizacji: "Access between these clock domains must be synchronized. As this mechanism is implemented in hardware the synchronization process takes place even if the different clocks domains are clocked from the same source...

    Mikrokontrolery ARM   17 Maj 2017 09:55 Odpowiedzi: 28    Wyświetleń: 1686
  • REKLAMA
  • Generator wielokanałowy (4kanały) DDS (lub nie) do 0-200kHz

    Patrzałem na te generatorki na AVR, wyglada to nawet fajnie. Ale nie doczytałem czy można na tym zrobić np 3 kanały? bo czterech to chyba nie bardzo. Tak jako zupełne minimum to trzy kanały mogłyby mi wystarczyć, ale i tak docelowo zrobię te cztery. Na razie przeglądam dokumentacje do AD9959, trzeba jakość spróbować ugryźć ten temat :) niestety te...

    Mikrokontrolery   23 Kwi 2009 16:24 Odpowiedzi: 13    Wyświetleń: 5732
  • VHDL-Generator DDS-Pytanie

    Witam. Mam do projekt do oddania, który polega na napisaniu w języku VHDL, generatora DDS, które będzie generował przebieg sygnału sinus lub trójkąt (w zależności od ustawienia) na 32 punktach osi wraz z regulacją częstotliwości (16 danych częstotliwości) za pomocą suwaków na mikrokontrolerze. Do mikrokontrolera jest dołączony przetwornik C/A pozwalający...

    Mikrokontrolery   16 Maj 2017 07:52 Odpowiedzi: 2    Wyświetleń: 894
  • Odtwarzanie domowe projektu generatora 220V z alternatora 24V 90A - Tecnologia Oculta YT

    Ale we wszechświecie sa nie 3, nie 4 a 6 wymiarów Cienki Bolek jesteś z sześcioma wymiarami. ;) Teoria strun (TS) – koncepcja w fizyce teoretycznej, zgodnie z którą podstawowym (fundamentalnym) budulcem świata nie są punktowe cząstki, lecz rozciągłe struny o wielkości ok. 10 do potęgi minus 31 metra a czasoprzestrzeń ma co najmniej 10 wymiarów...

    Na pograniczu nauki   03 Mar 2022 08:19 Odpowiedzi: 144    Wyświetleń: 14208
  • Cyclone II Quartus 2 PLL z jednego generatora

    Witam serdecznie. Mam następujący problem, na własnej płycie zawierającej FPGA Cyclone II, TQFP144 mam podpięty generator kwarcowy (CMOS) 25MHz do dedykowanej nogi clk2 pin 21, pozostałe wejścia zegarowe zgodnie z zaleceniami noty spięte do masy. Z założenia miałem wykorzystywać tylko jeden PLL. Okazało się, że potrzebuję innych wartości zegara i potrzebuję...

    Programowalne układy logiczne   08 Lip 2012 10:35 Odpowiedzi: 2    Wyświetleń: 1820
  • Binary LED Clock. Schematy, wzory płytek, działanie.

    ZEGAR BINARNY Opisywany zegar binarny wyświetla bieżący czas w niezrozumiałych znakach. Oczywiście tylko dla niewtajemniczonych, bowiem aktualna godzina jest wyświetlana w postaci kodu dwójkowego. Za pomocą 4 diod LED można przedstawić cyfry od 0 do 9. Sześć takich rzędów wystarczy, aby wyświetlić godziny, minuty i sekundy. Korzystanie z takiego zegara...

    DIY Konstrukcje   30 Maj 2010 21:49 Odpowiedzi: 35    Wyświetleń: 13892
  • Jak zrobić generator przy użyciu bloku ob35?

    Witam, W konfiguracji CPU masz zakładkę "Cycle/Clock Memory" zaznaczasz tam "Clock memory" podajesz nr bajtuz obszaru flag(M). I cieszysz się generatorem. A co do OB35 AN M10.0 = M10.0 Pozdrawiam Paweł

    Automatyka Przemysłowa   07 Gru 2014 13:10 Odpowiedzi: 4    Wyświetleń: 1687
  • Generator sygnału zegarowego.

    Do AD9551 podłączyć można jeden, bądź dwa zegary referencyjne (o częstotliwości od 19.44 do 806 MHz ), z których układ ten generuje jeden, bądź dwa, sygnały zegarowe (o częstotliwości od 10 do 777.6 MHz). Częstotliwości tych sygnałów są ze sobą harmonicznie powiązane, za pomocą programowalnego współczynnika (od 1 do 63). Układy wejściowe i wyjściowe...

    Newsy   24 Mar 2009 22:53 Odpowiedzi: 0    Wyświetleń: 1494
  • Generator zegara o niskim szumie fazowym

    Analog Devices wprowadza do produkcji nowy układ generatora i konwertera sygnału zegarowego. AD9525 to dedykowany do systemów LTE i GSM układ generujący do ośmiu wyjść zegarowych. AD9525 zapewnia do ośmiu wyjść LVPECL z sygnałem zegarowym. Układ charakteryzuje się niskim szumem fazowym oraz niewielkim poborem prądu. Wyposażono go w wbudowaną pętlę...

    Newsy   23 Paź 2012 09:25 Odpowiedzi: 0    Wyświetleń: 756
  • Miniaturowe generatory zegarowe dla szyny PCI Express

    Firma Silicon Laboratories rozszerzyła swoje portfolio rozwiązań zegarowych dla PCI Express (PCIe) o dwa nowe jedno- i dwukanałowe generatory zegarowe dla szyny PCIe, o najmniejszej powierzchni i najniższej pobieranej mocy spośród rozwiązań aktualnie dostępnych na rynku. Nowe układy spełniają surowe wymagania standardu PCIe generacji 1/2/3. Generatory...

    Newsy   29 Maj 2013 09:09 Odpowiedzi: 0    Wyświetleń: 1689
  • Jar zrobić generator sygnału prostokątnego

    Mam zmieniiarkę płyt która potrzebuje sygnału tzw clock. Niestety radio go nie wysyła. Sygnał wygląda jak w załączniku. Będę wdzieczny za rady jak takie coś zbudować lub gdzie mogę znaleźć więcej informacji na ten temat.

    Elementy Elektroniczne - zamienniki i identyfikacja   14 Sty 2004 17:55 Odpowiedzi: 2    Wyświetleń: 1817
  • Generator NE555 regulowany

    Właśnie dzisiaj zasięgnąłem wiedzy mojego prowadzącego i powiedział że można to zrobić na przerzutniku D. A mianowicie mój układ ma być zasilany 12V stabilizowanego napięcia. Wtedy mogę użyć CMOS 4013 i sygnał podać na chyba CLOCK. Wyjście D połączyć z !Q. I na Q będę mieć sygnał normalny a na !Q będę mieć sygnał odwrócony.. Dobrze zrozumiałem? I w...

    Początkujący Naprawy   20 Maj 2011 20:30 Odpowiedzi: 11    Wyświetleń: 9992
  • Generator zegarowy z dwoma pętlami PLL i rozproszonym widmem.

    Układ AD9577 zapewnia generację i dystrybucję zegara. Jego funkcjonowanie opiera się o dwie pętle PLL - PLL1 i PLL2, zoptymalizowane do generowania przebiegów zegarowych. Pętla PLL oparta jest o tradycję i umiejętności firmy Analog Devices, która wielokrotnie sprawdziła się na rynku producentów PLL o niskim szumie fazowym i wysokich parametrach pracy....

    Newsy   13 Sty 2012 13:47 Odpowiedzi: 0    Wyświetleń: 1279
  • atmega8 - Zablokowane atmega - generator zewnętrzny

    Faktycznie, dla kwarcu rejestr CKSEL ma inne ustawienia niż dla External Clock. Nie wziąłem tego pod uwagę. A tak dla pewności kupię jeden procek i ustawię CKSEL na 0000. Jeżeli nie będzie problemu z działaniem na zewn. generatorze, to moje "zablokowane" procesory będą musiały czekać na FuseDoktora. ----------------------------------------...

    Mikrokontrolery   28 Lis 2012 09:26 Odpowiedzi: 4    Wyświetleń: 2442
  • spartan3AN dual port RAM (Core Generator)

    Witam, Nie mogę za symulować działania pamięci dP RAM wygenerowanej w Core Generator. Używam ISIM . LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; library UNISIM; use UNISIM.Vcomponents.all; ENTITY FPU_RAM_TB IS END...

    Programowalne układy logiczne   24 Lip 2013 13:31 Odpowiedzi: 0    Wyświetleń: 2139
  • Generator 1Mhz

    Zacytuję tutaj ze strony tekst, po angielsku, ale myślę, że zainteresowani będą wiedzieli o co chodzi "Carrier Frequency: Standard frequency 77.5kHZ, derived from the PTB atomic clocks. Relative deviation of the carrier from specifications: averaged over 1d: <1e-12 averaged over 100d: <2e-13" Danych dotyczących Krajowego Wzorca Częstotliwości...

    Warsztat elektronika   29 Cze 2004 15:44 Odpowiedzi: 10    Wyświetleń: 4032
  • Telefunken Star Clock: brak odbioru UKF mimo wymiany kondensatorów elektrolitycznych

    Jeśli znasz podstawy elektro i posiadasz układ melodyjki takiej co kiedyś były w pocztówkach to próbuj, najpierw sprawdz czy melodyjka działa, odłącz piezo, dowolny przewód po odłączeniu wykorzystaj jako końcówka generatora. Dotykasz po kolei do każdej bazy tranzystorów, zaczynasz od tyłu stwierdzisz że generatora nie słychać tam szukaj uszkodzenia,...

    Początkujący Naprawy   14 Maj 2021 17:42 Odpowiedzi: 10    Wyświetleń: 378
  • generator 10 MHz i dzielnik na 1 Hz ... pomocy!!!

    no wiec Quartz w dataseet Texas Instrument piszą dokładnie na odwrót: logic one is required at the phase input for WK ; logic 0 is required for WA ... dziwne ... czarutek jeśli chodzi o kwarce to w nowym elektroniku jest wiekszość ... otóż zdradze Wam na czym polega moje dzierganie ... chce zrobić projekt z cyfrówki zawierający elementy poznane teoretycznie...

    Początkujący Elektronicy   01 Sty 2007 18:24 Odpowiedzi: 30    Wyświetleń: 5112
  • Generator przebiegu piłokształtnego , problem .

    Cuz mam juz zrealizowane wlasne rozwiazanie, wprawdzie dosc skomplikowane ale dziala. Do jednego wejścia bramki and podlaczamy multiwibrator monostabilny o okreslonej dlugosci impulsu, do drugiej generator przebiegow prostokatnych (czestotliwosc ok 10kHz moze byc). Sygnal z bramki podlaczamy do clocka licznika 8-bitowego. Sygnaly z wyjsc licznika do...

    Projektowanie Układów   29 Lip 2006 19:41 Odpowiedzi: 8    Wyświetleń: 3807
  • "generator impulsów" do licznika Johnsona

    4017 nie ma wyjścia w kodzie Johnsona. 4017 daje '1' wędrującą w kółko po 10 wyjściach Faktycznie...multisim mnie oszukał w takim razie bo podpisany jako licznik Johnsona. Jest jakiś licznik Johnsona w bibliotece multisima/do ściągnięcia skądś czy muszę sam robić? Też myślałem o przerzutnikach D, albo nie wiem czy T nie lepsze. może jednak to zrobić...

    Projektowanie Układów   16 Cze 2012 17:17 Odpowiedzi: 16    Wyświetleń: 3985
  • Recenzja FG-100 DDS - lub tani generator funkcyjny

    Atmega48 z kwarcem 32MHz? Raczej jest to jakiś LGT8F32P, który może pracować na 32MHz. Mało prawdopodobne przy 3.3 V...

    Artykuły   15 Maj 2023 20:34 Odpowiedzi: 10    Wyświetleń: 1641
  • Generator wzorcowy do częstościomierza

    Aj ciekawy sie temat robi - co rzadko sie zdarza na elektrodzie :) Po co robić wejście na CPLD? Też kiedyś miałem taki pomysł dopóki nie trafiłem na projekt częstościomierza Witam. Interesuje mnie częstościomierz wg S53MV. Mam jednak mały problem. Jako generator chciałem wykorzystać OCXO ale niestety mam 16.384MHz. W opisie napisano że z 20Mhz po podziale...

    Radiotechnika Początkujący   12 Mar 2010 16:24 Odpowiedzi: 75    Wyświetleń: 37427
  • Generator DDS na AD9851 i ARM LPC2138

    Przeczytałem, ale przeczytałem również: The frequency can be adjusted in 10Hz steps from zero up to 999.99999MHz. The software makes no reference to the actual DDS clock frequency at this stage. Therefore the frequency display just means that there will be some spectral component at the indicated value, but it does not tell whether it is the fundamental...

    DIY Zagranica   27 Gru 2010 19:31 Odpowiedzi: 6    Wyświetleń: 7948
  • Verilog - Generator przebiegów

    Witam wszystkich. Chciałem przy użyciu Spartana 3AN stworzyć generator przebiegów. Jednak pierwszy problem pojawia się już modułem do komunikacji z DAC. [syntax=verilog] module SPI_fsm( input clk, input rst, input [11:0] data, //output output reg Ready, output reg SPI_MOSI, output reg DAC_CS, output reg SPI_SCK, output reg DAC_CLR //input DAC_OUT );...

    Programowalne układy logiczne   11 Gru 2012 21:41 Odpowiedzi: 3    Wyświetleń: 1851
  • PIC24FJ64GA104 - Konfiguracja bitów kwarcu 3.6864 i generatora - uruchomienie uC

    Witam. Mam prośbę dotyczącą pomocy w konfiguracji i (ewentualne wytłumaczenie zależności pomiędzy bitami konfiguracji i co robię źle:P ) rezonatora/generatora z kwarcem 3.6864 próbuję uruchomić mikrokontroler z tym kwarcem ale nie startuje. Poniżej konfiguracje jakich używałem: Poniżej to samo w txt: // PIC24FJ64GA104 Configuration Bit Settings // 'C'...

    Mikrokontrolery PIC   30 Kwi 2016 07:17 Odpowiedzi: 2    Wyświetleń: 2262
  • AT89C52 i Programmable Clock Output

    Podkręciłem tego procka (maksymalny zegar fabryczny to 20 MHz) do około 32 MHz (zewnętrzny generator) i generuje przebieg 8 MHz :D Z kolei na zewnętrznym generatorze 20 MHz generował piękne 5 MHz :) To chyba wina wewnętrznego oscylatora procka. Pozdrawiam.

    Mikrokontrolery   21 Wrz 2005 12:46 Odpowiedzi: 9    Wyświetleń: 1161
  • Generator DDS - 20-40kHz, ATmega16, Wstawki asemblerowe?

    Gdybyś przeczytał to co Ci proponowałem to w w bardzo krótkim czasie mógłbyś napisać coś takiego i wiedziałbyś dlaczego warto jest zrobić wstawkę assemblerową. To co jest poniżej skopiuj i zapisz jako plik prj dla VMLAB gdybyś chciał pooglądać przebiegi bez lutowania. Częstotliwość można zmieniać przyciskami. ; ****************************************...

    Mikrokontrolery   20 Lut 2008 00:15 Odpowiedzi: 4    Wyświetleń: 2024
  • Generator z wykorzystaniem filtru SAW

    Są przecież gotowe : Crystek launches 1 GHz SAW clock oscillator

    Radiotechnika Początkujący   30 Kwi 2008 06:57 Odpowiedzi: 1    Wyświetleń: 2413
  • [89C420] Problem ze stabilnością generatora

    Witam. Procesor pracuje na kwarcu 24MHz (bez żadnych wewnętrznych mnożników czy dzielników). Loader420 ma problemy z programowaniem jednak za pomocą MTKbeta (otwieram port na 9600) udaje się go zaprogramować i zweryfikować. Program testowy wysyła tekst na port szeregowy (w pęti) i miga diodką. Na tym kwarcu udało mi się uzyskać wewnętrzny baudrate na...

    Mikrokontrolery   27 Cze 2008 23:07 Odpowiedzi: 4    Wyświetleń: 1806
  • Problem z doborem generatora kwarcowego

    Znalazłem w sieci całkiem ciekawy projekt DAC'a, chciałbym go wykonać. Mój problem polega na tym, że nie wiem o jakiej częstotliwości zastosować generator kwarcowy. Na schemacie, który dołączyłem przy rezonatorze zaznaczone jest freq=10Meg, ale co dziwne autor, który wykonywał projekt zastosował generator 67.108864MHz (którego pewnie nigdzie nie dostanę)....

    DSP i Transmisja   02 Sie 2008 17:32 Odpowiedzi: 3    Wyświetleń: 1709
  • [ATtiny26][Assembler]Problem z generatorem na TC1

    Witam, w ATtiny26 mam problem z właściwą inicjalizacją Timer/Counter1, tak aby na wyprowadzeniu PB3 (OC1B) pojawiła się fala prostokątna o zadanej programowo częstotliwości. Zaprogramowałem procka takim kodem: ... ldi R16,0x80 rcall TC1Init ... ;* ;TIMER/COUNTER 1 init * TC1Init: push R16 sbi DDRB,3 cbi PORTB,3 ;na wszelki wypadek ldi R16,(1<<CS10)|(1<<CS11)|(1&l...

    Mikrokontrolery AVR   09 Mar 2009 07:32 Odpowiedzi: 2    Wyświetleń: 812
  • [at91sam7s256][C/C++][eclipse] Clock >= 1Mhz na GPIO

    To wykorzystaj timer do generowania jednego PWM. Silnik pewnie dostaje sygnał w okolicach 20kHz. To na przerwaniu zrobisz. 1MHz już nie. Inny sposób to zewnętrzny generator.

    Mikrokontrolery ARM   11 Lut 2011 10:42 Odpowiedzi: 6    Wyświetleń: 1373
  • [verilog] Spartan3e generator VGA

    /.../ rtl dzielnika zegara jest 'dziwaczny' :) to sie pisze po prostu tak: module clk_VGA ( ..... output reg clk_out ); always (at)(posedge clk] clk_out <= !clk_out; mozesz ewentualnie dodac reset do tego always, inaczej symulacja ci nie pojdzie, ale w syntezie ten reset to tylko niepotrzebna logika; clock_VGA instance_name1 (...

    Programowalne układy logiczne   29 Lis 2010 22:50 Odpowiedzi: 8    Wyświetleń: 2169
  • QR Clock - zegar wyświetlający czas w formie kodu QR

    Po kilku miesiącach pracy wreszcie jest! Teraz możecie pytać, która godzina! Pomysł projektu powstał, kiedy przez cztery dni autor podróżował po kraju. Kody QR są bardzo popularne z wielu powodów. Sprzedawcy uznają, że są one bardzo praktyczne i żądają umieszczania ich wszędzie, gdzie się da – pomimo tego, że Część sprzętowa Pomijając fakt, że...

    DIY Zagranica   12 Sie 2013 09:24 Odpowiedzi: 8    Wyświetleń: 9399
  • Clarion HX-D2 - Wejście cyfrą, a master clock

    Witajcie! Posiadam jednostkę Clarion HX-D2 i kombinuję jak wpiąć się tam światełkiem. Radyjko posiada wejście/wyjście cyfrowe. Wejście cyfrowe działa tylko ze zmieniarką clariona po wpięciu się magistralą NetBus. Pomijając już kwestie próby uruchomienia tego, postanowiłem zrobić tak: Wpiąłem się w między układ z CD, a płytę główną. Pin nazywa się D-OUT:...

    Projektowanie Układów   07 Mar 2014 19:19 Odpowiedzi: 0    Wyświetleń: 906
  • Softwarowa zmiana zasady clockowania AVR

    W ARM-ach tak w AVR-ach nie. Nieprawda. W większości AVR jest preskaler zegara, czyli układ działa z tym samym źródłem, ale możesz zmienić taktowanie przez preskaler zegara, co umożliwia osiągnięcie innych częstotliwości taktowania i np. zmniejszenie pobieranej mocy. W pełni elastyczne w tym zakresie z AVR są XMEGA, które mają możliwość włączania i...

    Mikrokontrolery AVR   30 Mar 2016 18:17 Odpowiedzi: 6    Wyświetleń: 933
  • Arduino Nano i AD9833 zmiana częstotliwości generatora podstawy

    Mam taki małe pytanie Potrzebuje zmienić częstotliwość pracy podstawy generatora do AD9833 Oryginalnie jest to 25MHz potrzebuję akurat na 10 MHz mam taki kod (w sumie 2) INO wygląda tak: Do kompletu jest AD9833.h Proszę umieszczać kod w znacznikach syntax. Składnia jest wtedy kolorowana, analiza łatwiejsza, a posty krótsze.

    Arduino   30 Gru 2018 11:13 Odpowiedzi: 0    Wyświetleń: 504
  • AD9854 DDS - Tryb Single Tone - Komunikacja Szeregowa/Równoległa i Regulacja Update Clock

    Dzień dobry, potrzebowałem generatora o szerokich możliwościach i padł wybór na chińską płytkę z AD9854. Na domyślnych ustawieniach rejestrów - układ od razu powinien pracować w trybie single tone (po wpisaniu 6 bajtów Frequency Tuning Word 1). Niestety: jakikolwiek sygnał uzyskuję dopiero po wpisaniu wartości do rejestru Output shaped keying I multiplier...

    Ogólny techniczny   23 Sty 2021 14:26 Odpowiedzi: 1    Wyświetleń: 144
  • Przeróbka makra do sprawdzania PESEL na generator w Visual Basic

    3 liczby są losowo a 4 po dacie urodzenia jest przypisana do płci dla kobiet parzysta, a dla mężczyzn jest nie parzysta. Cyfry, nie liczby. A z czwartą też jest jakiś wybór. I ja już ze 2x napisałem, daje to po 5000 kombinacji dla każdej płci - wszystkie parzyste (dla kobiet) / nieparzyste (dla mężczyzn) od 0 do 9999. Ten generator, który napisałem,...

    Programowanie Początkujący   21 Lut 2021 19:54 Odpowiedzi: 12    Wyświetleń: 1155
  • Generator fali prostokątnej w ATMega8

    Udało mi się! 'Przykład generowania fali prostokątnej niezależnie od CPU 'procesor AT Mega8 'zegar wewnętrzny 1 MHz 'piezo pomiędzy PB.1 a +5V 'UWAGA!!!, ATMEGA8 ma domyślnie załączonego Wachdoga!!!. Trzeba wyłączyć bezpiecznik!!! $regfile = "m8def.dat" $crystal = 1000000 Dim I As Byte Ddrb.1 = 1 'port b.1 wyjściowy '---------------------------------------...

    Mikrokontrolery   04 Lip 2003 21:03 Odpowiedzi: 4    Wyświetleń: 2945
  • master clock - schemat zegara

    Kwarc najlepszy jaki uda Ci się znaleźć - w końcu po to jest ten dodatkowy generator, żeby było lepiej. Chodzi stabilność częstotliwości, temperaturową itp - mają być jak najlepsze. Niektórzy jeszcze obudowują kwarc, aby był jak najbardziej odizolowany od środowiska. A ze zwykłym kwarcem za 1-3 złote nie baw się, pewnie właśnie taki masz w CD :) ......

    Projektowanie Układów   12 Gru 2003 14:40 Odpowiedzi: 5    Wyświetleń: 2731
  • pin B30 (High-speed clock (70ns, 14.31818 MHz) na szynie ISA

    Dzięki wielkie - udało mi sie kupić ten kwarc, aczkolwiek nie było to proste, bo na prawde w wawie nie wszedzie go maja, a gdzie niegdzie twierdza nawet, ze jest to żadki kwarc... ale juz mam i teraz szukam MAX903 bo potrzebuje go do zbudowania generatora... a tego układu to juz w ogole nigdzie nie maja...musze pokombinowac z zamiennikami.... pozdrawiam...

    Mikrokontrolery   18 Sty 2005 07:14 Odpowiedzi: 4    Wyświetleń: 1244
  • Czy to generatory?(??)

    Z tym brakiem kompetencji na forum to Cię trochę poniosło ,jest tu 147558 użytkowników i parę osób orientuje sie w temacie na pewno.To co napisał robert_bytom to niezupełnie tak ,taktowanie PCI ,ISA czy AGP jest realizowane przez podział częstotliwości magistrali systemowej FSB w chipsecie i nie ma do tego osobnych kwarców.Wielość rezonatorów na płycie...

    Komputery Hardware   21 Kwi 2005 20:13 Odpowiedzi: 13    Wyświetleń: 1320
  • Czy ten układ nadaje sie na generator impulsów??

    Cau układ przedstawiony po lewej stronie na zdjęciu w załączonym linku nadaje sie jako generator impulsów do układu CD4017 Dodano po 1 aha jeszcze jedno. Jezeli ten uklad sięnie nadaje to potrzebuje najprostrzy z mozliwych generator impulsów (najlepiej na jakic tranzystorkach) do tego układu.

    Początkujący Elektronicy   03 Lut 2006 09:19 Odpowiedzi: 1    Wyświetleń: 1046
  • Generator z DDS

    CZy Fclock jest stały ? W takim wypadku wystaczy wynik z dzielenia \frac{2^{32}}{F_{CLOCK}} (też stała) mnożyć przez F_{OUT} - z pewnością uzyskana liczba będzie miała mniejszą objętość. Co do długości liczb, to każda składa się z 8-bit bajtów umownie zesobą powiązanych jako forma zapisu (np. Little Endian - pierwszy bajt z kolei ma największe znaczenie...

    Mikrokontrolery   03 Lut 2006 13:43 Odpowiedzi: 8    Wyświetleń: 1916
  • Generator na AD9833? Czy da się uzyskać ładnego sinusa o f=12,5 MHz?

    Co sądzicie o tych przebiegach ? 10MHz już dosyć dziwnie wygląda. Dodam że MCLK = 24MHz Brakuje Ci filtru wyjściowego. Potrzebujesz porządny LP z częstotliwością Fg rzędu 10MHz i tłumieniem co najmniej 30dB/oktawę. Wtedy będzie git. Poszukaj jakiegoś rozwiązania filtru drabinkowego LC typu Pi; np. 5 ceweczek i 5 kondensatorów, da Ci 60dB/okt, pod warunkiem,...

    Początkujący Elektronicy   20 Cze 2006 21:42 Odpowiedzi: 16    Wyświetleń: 5087
  • Ponownie problem generatora na ATmega8

    Witam po raz sto pierwszy. Stali bywalcy elektrody pewnie mają już dosyć tych tematów (i mnie zapewne też :D), ale powróciłem do tego zagadnienia. Żeby nie było, poświęciłem dwa dni na szperaniu po elektrodzie w poszukiwaniu postów o tej tematyce; znalazło się troche (oj troche :)), ba...nawet znalazłem kod źródłowy w C/C++ który co prawda kompilował...

    Mikrokontrolery   19 Cze 2006 20:23 Odpowiedzi: 3    Wyświetleń: 1663
  • Generator prostokąta 0 do 100Khz + ampltiuda 0 - 3V

    Możnaby spróbować AT89S8252 (koniecznie procek z architekturą 8052) i Timer 2 w trybie Programmable Clock Output (czy jakoś tak). Tylko nie wiem jaką Ci to da rozdzielczość, bo licznik ma 16 bitów. Możnaby wykorzystać jakiś zewnętrzny generator DDS sterowany z procesora.

    Mikrokontrolery   21 Cze 2006 23:26 Odpowiedzi: 1    Wyświetleń: 1281
  • Generator na AT89c52 - czy budował ktoś? Program w Bascomie?

    O.K. w bascomie robi się to podobnie cytat z polskiego HELP: "Częstotliwość wyjściowa jest określana wtedy wzorem: fout = (fosc / 4) / (65536 - CAPTURE). By wpisać wartość do rejestrów RCAP2L i RCAP2H, należy użyć: CAPTURE = wartość , gdzie wartość powinna być typu Word. Aby jednak uprościć stosowanie tego trybu instrukcja (począwszy od wersji 2.08...

    Mikrokontrolery   23 Lis 2006 01:13 Odpowiedzi: 5    Wyświetleń: 1430
  • step7 programowy generator 1Hz

    Witam, Właściwości CPU zakladka Cycle/Clock Memory, zaznacz Clock Memory i ustaw bajt, w którym bity będą systemowo taktowane. Period duration/frequency is assigned to each bit of the clock memory byte: Bit 7 6 5 4 3 2 1 0 Period duration (s): 2 1.6 1 0.8 0.5 0.4 0.2 0.1 Frequency (Hz): 0.5 0.625 1 1.25 2 2.5 5 10 Pozdrawiam...

    Automatyka Przemysłowa   26 Mar 2007 21:02 Odpowiedzi: 9    Wyświetleń: 10294
  • XILINX FPGA - Memory Interface Generator - DDR RAM

    Czesc, Dalej ciekawi mnie sprawa tego ARM. Moze te 60MHz to byl zegar ktory wchodzil na mnozniki czestotliwosci a wewnetrznie taktowales ARM'a na 200MHz albo cos takiego. Mozna by to sprawdzic w opcjach Keil'a jaki startup code wygenerowali i jak skonfigurowali wewnetrzne zegary. Jesli chodzi o DDR to jesli tylko uzywasz sprawdzonego core'a np od Xilinx'a...

    Programowalne układy logiczne   10 Maj 2007 07:57 Odpowiedzi: 9    Wyświetleń: 3156
  • generator przebiegu sinusoidalnego 1Ghz

    AD9858 ma zegar 1GHz, co znaczy że najwyższa możliwa do osiągnięcia częstotliwość wyjściowa to 500MHz. W ogóle nie szukłałbym w DDS, bo na tą częstotliwość to już diablo drogo i obudowy małe z dużą ilością końcówek, a przede wszystkim wymagania co do PCB i zasilania mało przyjemne. Lepiej jakiegoś VCO i do tego PLL. Na przykład proponowany powyżej...

    Mikrokontrolery   10 Lip 2007 12:09 Odpowiedzi: 9    Wyświetleń: 4256
  • Atmega + Bascom = Clock, czyli zegar ABC

    Szczęśliwi czasu nie mierzą, jednak ja zrobiłem zegar. Sterowany mikrokontrolerem ATmega8, z zielonym 14 mm wyświetlaczem LED i kwarcem 32768 Hz. Zegar, który w tej chwili pokazuje godzinę 72.22 ;) , ale o tym później. Schemat Cyferki włączane są sekwencyjnie przez port C za pośrednictwem wtórników emiterowych na BC817. Segmenty zapala przez oporniki...

    DIY Konstrukcje   17 Sty 2017 10:12 Odpowiedzi: 50    Wyświetleń: 54411
  • AD9833 Wznowienie tematu- generator funkcyjny

    Witam, nie jestem bardzo zaawansowanym elektronikiem i zamierzam zrobić generator funkcji na układzie AD9833. Jasne jest dla mnie podłączenie układu do uC (u mnie atmega8). Natomiast kompletnie nie jasne jest sterowanie układem aby generował żądany przebieg. Rozumiem, że DB15 i DB14 muszą być zerem. Rozumiem, że muszę wysłać do układu 16bitowe słowo...

    Mikrokontrolery   20 Paź 2007 09:06 Odpowiedzi: 11    Wyświetleń: 6417
  • generator pojedynczego impulsu - jak?

    Specjalnie do takich zastosowań wymyślono 74123 (dwa generatory w jednym układzie, każdy ma jedno wejście czułe na zbocze narastające, drugie na opadające), dwa wyjścia cyfrowe: impuls pozytywny (0...10...) i negatywny (1...01...). Powinien być również w wersjach LS, HC, HCT... jest podobny w serii CMOS 4000, nie pamiętam numeru. Sterowanie 4013 z transoptora,...

    Projektowanie Układów   13 Lis 2007 11:01 Odpowiedzi: 12    Wyświetleń: 6024
  • Zegar widmowy - Propeller Clock

    Hmm.. a co to znaczy "dla kompletnie zielonych"? Ja zabawę zacząłem niedawno i projekt tego zegarka służy mi do nauki wszystkich programów potrzebnych do jego zbudowania. Aby go wykonać musiałem poznać protela i jak na razie bascoma, wykonać naświetlarkę i opanować produkcję płytki dwustronnej pod smd. Musiałem zakupić odpowiednią lutownicę i nauczyć...

    DIY Konstrukcje   16 Gru 2021 23:01 Odpowiedzi: 239    Wyświetleń: 383594
  • Zegarek "My Clock"

    Witam! Sorki, że wcześniej nie odpowiedziałem na Wasze pytania, ale poprostu nie mogłem... Na pytanie w sprawie kondensatorów kolega bsw napisał dobrze. A co do podłączania programatora to przylutowywuje pięć przewodów i programuje, w zasadze nie stanowi to problemu, bo prawie wszystkie są wyprowadzone na opornikach segmentowych. Co do użycia Tiny2313...

    DIY Konstrukcje   09 Gru 2012 12:04 Odpowiedzi: 75    Wyświetleń: 33349
  • Najprostszy generator 2 lub 4 MHz

    Witam Na wstępie chcę zaznaczyć, że przeszukałem forum i google pod kątem problemu, ale po 3 godzinach bolą mnie już oczy tak, że muszę zapytać. Poszukuję najprostszego sposobu na wygenerowanie sygnału zegarowego "system clock" o częstotliwości 2MHz. Wiem, że na TLC555 można generować do 2MHz, ale czy jest jakiś sposób, aby zrobić to jeszcze prościej?...

    Początkujący Elektronicy   31 Mar 2009 14:52 Odpowiedzi: 9    Wyświetleń: 3197
  • [STM32] i Timery - generator

    Dzięki za naprowadzenie na właściwy trop. Jeszcze trochę postudiuję notkę STMa, może coś stworzę. Dodano po 43 Przejrzałem pobieżnie notę STMa "RM0008 Reference manual" i nie zauważyłem możliwości ustawienia aby timer zerował się po porównaniu. Natomiast moją uwagę przykuło takie zdanie: "16-bit programmable prescaler used to divide (also “on...

    Mikrokontrolery ARM   07 Wrz 2010 12:05 Odpowiedzi: 35    Wyświetleń: 9772
  • [AtMega 2560]Problem z fusebites (osc/clock)

    spróbuję ręcznie przeprogramować w trybie równoległym fusebits, jak się nie uda to będę lutować generator. Dzięki za szybką pomoc. Tu masz twoj temat opisany w szczegolach. e marcus

    Mikrokontrolery AVR   04 Lip 2009 17:47 Odpowiedzi: 9    Wyświetleń: 2377
  • ECAS w Magnum-brak pracy, gdzie jest generator?

    Zwracam się do was o paradę , mój sąsiad ma takie autko i padły mu poduchy , niechętnie się dałem namówić na taka naprawę więc chętnie zlecę w jego imieniu naprawę komuś bardziej biegłemu w temacie .Ja zdążyłem tylko stwierdzić że nie działa szyna Clock ani Data więc urządzenie jest całkiem zimne ale generator procesora działa , jest tam taka puszka...

    Samochody Elektryka i elektronika   08 Kwi 2010 16:24 Odpowiedzi: 7    Wyświetleń: 2430
  • MSP430F44x FFL+clock module

    Faktyczne wzory to wzory podane przez producenta. Nigdy nie miałem z tym kłopotów. Bity FN_x nie mają wpływu na częstotliwość wyjściową. Ustalają one warunki pracy generatora. Twoje objawy są dziwne. Może kwarc się nie wzbudza i FLL nie ma się z czym synchronizować? Podaj także konkretne ustawiena/częstotliwości które otrzymujesz. Albert

    Mikrokontrolery Pozostałe   12 Kwi 2011 08:24 Odpowiedzi: 4    Wyświetleń: 2430
  • Generator fali prostokątnej [Step7, FBD]

    Witam, Poczytaj o Clock Memory, a nie będziesz musiał tak kombinować ;) Pozdrawiam. PS: Jak zamienisz networki to w NTW 1 timer od razu ustawi się na 1 i w ntw 2 będzie już 1... a tam potrzebujesz 0 jako T1.

    Automatyka Przemysłowa   21 Lip 2011 22:25 Odpowiedzi: 4    Wyświetleń: 4106
  • Digital clock type c553 - zegar nixie schemat, wyprowadzenia

    Schemat ideowy i fotki może kolega sobie zobaczyć i ew. ściągnąć z linku: Złącza G1 i G2 to nic innego jak wyjścia interfejsu równoległego (poziom TTL, kod BCD) do współpracy z urządzeniami takimi jak np. drukarka, zewnętrzne repetytory itp. Wyprowadzono tam także sygnały z generatora/ dzielnika częstotliwości - zegar mógł służyć również jako wzorzec.

    Elektronika Retro   07 Paź 2011 09:49 Odpowiedzi: 5    Wyświetleń: 2864
  • Moduł generatora VGA - Verilog

    Witam ! Proszę o pomoc, bo już nerwy mi puszczają, siedzę nad tym drugi dzień i ciągle to samo, czyli po uruchomieniu programu na płytce testowej monitor przechodzi w stan uśpienia, tak jakby wgl nie reagował na impulsy. Układ FPGA taktowany jest zegarem 50 MHz, timingi wziąłem z tąd : (strona 6) wycinek z timingami : Problemem jest zegar 50MHz, do...

    Programowalne układy logiczne   07 Lut 2012 11:48 Odpowiedzi: 14    Wyświetleń: 4725
  • Zamiana kwarcu na generator kwarcowy

    Ogólnie w każdej dokumentacji mikrokontrolerów rodziny PIC/ATMega/ATtiny jest to opisane jako jedna z opcji taktowania mikrokontrolera jako External Clock; wiąże się to z przestawieniem FUSE bit podczas programowania

    Początkujący Elektronicy   15 Lip 2012 23:32 Odpowiedzi: 5    Wyświetleń: 1401
  • Generator PHP - Błędy SQL ?

    Witam mam mały problem z generatorem który zakupiłem , autor zmienił dane kontaktowe i niestety nie mam możliwości uzyskania pomocy z jego strony. Z racji iż skrypt mam na własność nie chciałbym udostępniać całego kodu źródłowego.Jest to generator opisu plików popularnego serwisu.Mówię od razu iż nie łamię praw autorskich. Mianowicie : 1.Skrypt mam...

    Tworzenie WWW   02 Gru 2012 02:03 Odpowiedzi: 3    Wyświetleń: 1509
  • Zegar widmowy - propeller clock by hetm4n

    Może pochwal się schematem i kodem. Tak jak poprzednika interesuje mnie to jak rozwiązałeś zalilanie indukcyjne płytki. Jaki generator, częstotliwość, jakie cewki itp.

    DIY Konstrukcje   14 Mar 2013 23:18 Odpowiedzi: 27    Wyświetleń: 28374
  • Flip Clock USA/caslon 101 - Spóźnianie po podłączeniu w Polsce

    (at)beatom Cudownie :cry: . Tylko stabilnosc takiego generatora RC jest niewystarczająca do pracy zegara. Po co kwarce wymyślono ? Trzeba niestety generator kwarcowy z dzielnikiem do 60 Hz i scałkowac ten wyjściowy prostokąt do sinusa z uwagi na ten silniczek reluktancyjny. Falownik ? Już lepiej, bo jego częstotliwość jest oparta na zegarze procesora...

    Elektronika Retro   28 Lis 2018 16:21 Odpowiedzi: 17    Wyświetleń: 1161
  • [Zlecę] Generator lub falownik zmina czestotlowosci pradu dla zegara 50 na 60

    Zlecę wykonanie urządzenie które sprawi, że mój zegara flip clock na 110v i 60hz (z Usa) zacznie chodzic punktualnie :) w Polsce. Napięcie to nie problem, mam transformator, ale co z częstotliwością? Ktoś się podejmie? Czekam na wiadomość :)

    Ogłoszenia Elektronika   27 Kwi 2021 15:49 Odpowiedzi: 10    Wyświetleń: 618
  • Szukam oprogramowania do modułu generatora AD9854/AD9852

    Tylko w tej wersji nie wiedzieć dlaczego nie można zmienić REF CLOCK - Fail

    Warsztat elektronika   25 Mar 2024 08:00 Odpowiedzi: 4    Wyświetleń: 93
  • jaki Clock Generators (PLL) w asrock p4v88

    niestety setfsb nie obsługuje mojej płyty pozostaje mi poczekać na nowszy clockgen albo jakiś przerabiany bios także zamykam temat dzięki za pomoc

    Komputery Eksploatacja   27 Lip 2006 13:37 Odpowiedzi: 10    Wyświetleń: 2546
  • czy do Linux-a jest sterownik zadania czasu rzeczywistego?

    Dobry pomysł, będę musiał to sprawdzić. Ale jest prawdopodobne, że nie ma takiej opcji - mała moc, więc jest mniej potrzebna. Masz może jakieś namiary na konkretne informacje o parametrach Spread Spectrum stosowanych w komputerach? Z ciekawszych znalazłem tyle: - piszą o zakresie 1%, ale z kolei w piszą o 0.5MHz dla zegara 1GHz. - Clock Generation with...

    Linux   27 Wrz 2017 09:39 Odpowiedzi: 16    Wyświetleń: 957
  • problem GAINWARD GeForce GT 440 1024MB DDR3/128bit DVI/HDMI PCI-E (780/1600)

    Sprawdziłem z podłączoną grafiką system ani Everest jej nie widzi ale chłodzenie działa wentylator chodzi . Dodano po 1 Kurde sorki nie ten tag .

    Komputery Hardware   13 Lut 2012 17:25 Odpowiedzi: 4    Wyświetleń: 4005
  • ATmega8 - popsuł się? Komunikat: READLB entry not found.

    Na stronie 'domowej' Bascoma () znalazłem informacje jak to rozwiązać. When the fusebits are set to an external oscillator (because you select wrong fusebits or because of a problem during programming) , the microprocessor expects an external clock signal. Since this is not applied, the micro can not be programmed anymore. You can reanimate the chip...

    Mikrokontrolery   02 Lut 2007 17:32 Odpowiedzi: 36    Wyświetleń: 9308
  • Jak podkręcić Intel Core2 Duo T5870?

    Chłopaki pomóżcie ruszyć z miejsca, potrzebny CLOCK GENERATOR do płyty Intel Cantiga PM45 HP ProBook 4710s procesor Mobile DualCore Intel Core 2 Duo T5870 2200 MHz. Googlarka milczy jak zaklęta na ten temat, na polskojęzycznych forach także cisza a ClockGen i setfsb na dzień dobry żądają wybrania CLOCK GENERATORA a i tak jeszcze nie wiem czy nawet ruszą...

    Komputery Modding & OC   21 Gru 2013 16:30 Odpowiedzi: 12    Wyświetleń: 4383
  • [atmega8][c] proste SPI do sterowania przyciskami (tryb "free run")

    Witaj, na 2 stronie rozdziału traktującego o SPI znajdziesz zapis: The interconnection between Master and Slave CPUs with SPI is shown in Figure 18-2. The system consists of two shift Registers, and a Master clock generator. The SPI Master initiates the communication cycle when pulling low the Slave Select SS pin of the desired Slave. Master and Slave...

    Mikrokontrolery AVR   04 Lip 2011 11:11 Odpowiedzi: 22    Wyświetleń: 2740
  • arm AT91SAM7S64 w jaki sposób przespieszyć inicjację zegara?

    Niedawno uruchomiłem pierwszy raz procesor z rdzeniem arm AT91SAM7S64 wszystko w zasadzie zadziałało poza inicjacją zewnętrznego zegara. Przeczytałem już kilka razy rozdział "Clock Generator" i dalej nic z tego nie wynika. Procesor pracuje wolno, ale nie aż tak wolno jakby pracował na Slow Clock. Program pisze w CrossStudio for ARM i z tego co się już...

    Mikrokontrolery   16 Paź 2007 14:51 Odpowiedzi: 6    Wyświetleń: 1907
  • Podkręcanie Mobile Intel Pentium M 760J, 2000 MHz (15 x 133)

    Wysłałem maila do SetFSB Homepage i dostałem odpowiedź: I checked the Cypress CY28442 datasheet. Your Fujitsu-Siemens M3438G laptop cannot change FSB on software by a specification of the clock generator. To my regret, I cannot support it. aha i znalazłem clock generator dla mojego laptopa: ICS 954127 (TSOP pagage) Możecie mi powiedzieć, czy w takim...

    Komputery Modding & OC   15 Lis 2022 21:19 Odpowiedzi: 5    Wyświetleń: 3210
  • Płyta Główna MSI x58 Pro-E - Brak obrazu i rozruchu

    :idea: Popatrz w XEON X5675 X5650 6 CORE MSI X58 35$ UPGRADE - co i jak ustawić w Bios ______________________________ ... Power, Reset, and Clear CMOS buttons. There is also a DIP switch that allows you to select the frequency Bclk of the clock generator. Only three values are available: 133, 166, and 200 MHz. However, in addition to setting the frequency,...

    Komputery Hardware   21 Mar 2021 13:19 Odpowiedzi: 18    Wyświetleń: 699
  • [Kupię] Zestaw startowy ALTERA MAX 10, wspólny zakup w promocyjnej cenie

    Cóż nikt się nie zdecydował na wspólny zakup więc kupiłem sam. Udało mi się jeszcze zdobyć kupon an 10% zniżkę i darmową wysyłkę więc cały zestaw kosztował mnie 34$. Tak rozbudowany zestaw za taką cenę to wg mnie rewelacja. FPGA – Intel MAX 10 (10M50DAF484C6G) device with 50K logic elements, 1,638 Kbit block memory, 5,888 Kbits user flash memory,...

    Ogłoszenia Elektronika   24 Sie 2021 23:30 Odpowiedzi: 2    Wyświetleń: 255
  • sy-6vba133 po upgr. biosu nie mam FSB powyżej 83 MHz...

    Resetowałem. Nic to nie daje. W manualu znalazłem takie coś : FSB boot-up frequency and AGP divider: JP2 and JP7 The settings of JP2 and JP7 determine the FSB frequency at boot-up, and more important, they determine the AGP divider and the group of FSB frequencies that can be selected in the BIOS. As example, if JP7 is set to open and JP2 to (1-2),...

    Software serwis   18 Sie 2006 20:19 Odpowiedzi: 6    Wyświetleń: 1506
  • Karta dźwiękowa działa ale...

    Mam problem z katą dźwiękową - niby wszystko działa ale przy nagrywaniu dowolnym mikrofonem słychać bardzo głośne trzaski na nagraniu , odtwarzany dźwięk też nie jest do końca idealny. Chciałem przeinstalować sterowniki ze standardowych windowsowych na orginalne od producenta ale nie da sie bo windows zaraz po odinstalowaniu sam automatycznie instaluje...

    Komputery Hardware   23 Lut 2007 00:07 Odpowiedzi: 4    Wyświetleń: 1620
  • GA-970A-UD3 - UEFI BIOS - zmiana na Award z rewizji 1.2.

    :idea: proszę mnie nie odwodzić od tego pomysłu , o ile nie będzie poważnych przeciwskazań Nie mam najmniejszego zamiaru. :D :idea: GIGABYTE GA-970A-UD3 Rev 3.0 Bios revision: FDe Bios Type: AMI UEFI :?: Napisz - co chcesz osiágnáć przez OC tej płyty z z jakim cpu ? - przed póbami z OC załóż na chipset N.(AMD 970) (trzymany palstikowymi zatrzaskami)...

    Komputery Hardware   01 Maj 2023 20:54 Odpowiedzi: 8    Wyświetleń: 705
  • KAmodMEMS2 - brak komunikacji po I2C

    Bardzo dziękuje, za te informacje zmieniłem trochę kod dzięki nim: Dodano po 25 Ops znowu mój błąd. Teraz już wszystko działa wielkie dzięki za pomoc. Jeżeli jest ktoś zainteresowany obsługą KAmodMEMS2 przez I2C to załączam poniżej kod. Mikroprocesor Atmega32. [syntax=c] #include <stdlib.h> #include <avr/io.h> #include <avr/interrupt.h>...

    Mikrokontrolery AVR   19 Wrz 2011 21:34 Odpowiedzi: 6    Wyświetleń: 2742
  • Dell D600 - Zmiana częstotliwości taktowania pamięci Ram 266Mhz na 333Mhz

    Chipset spokojnie radzi sobie z pamięciami 333MHz, lecz obsługa zablokowana jest przez BIOS. Za to można spokojnie podnieść FSB do 133MHz. Przetaktujesz tym CPU i RAM. Wystarczy zmienić stan logiczny jednej nogi clock generatora.

    Laptopy Hardware   23 Lip 2013 14:38 Odpowiedzi: 2    Wyświetleń: 2097
  • Interfejs SPI i wykorzystanie SS

    SS Pin Functionality Slave Mode When the SPI is configured as a Slave, the Slave Select (SS) pin is always input. When SS is held low, the SPI is activated, and MISO becomes an output if configured so by the user. All other pins are inputs. When SS is driven high, all pins are inputs except MISO which can be user configured as an output, and the SPI...

    Mikrokontrolery AVR   29 Sty 2014 12:53 Odpowiedzi: 12    Wyświetleń: 2148