dzielnik 10000 częstotliwości

Znaleziono około 63 wyników dla: dzielnik 10000 częstotliwości
  • Scalony dzielnik częstotliwości 0.5 GHz przez 10000 lub 100000 - jakie modele?

    Witam, Popatrz tutaj: [url=http://www.qsl.net/n9zia/pre/]Link Jest to 1:1000 musiałbyś dodać z jedną lub dwie dekady (np. 7490 lub odpowiednik funkcjonalny) do uzyskania wymaganego podziału. Chodzi do 3,5 GHz

    Projektowanie Układów   31 Lip 2017 13:21 Odpowiedzi: 16    Wyświetleń: 1974
  • Realizacja dzielnika częstotliwości na 8253 i priorytet przerwań 8259

    Tematy scaliłem - p.10.5 regulaminu. Mam do was pytanie: -Jak w 8253 wpisac do licznika wartosc poczatkowa? -Jak zrobic dzielnik czestotliwosci przez 10, 100, 10000 jednoczesnie -jak wykonac priorytet przerwac na 8259( np 2 i 10) A i jeszcze: Jak w 8251 zdefiniowac rodzaj trybu pracy(synchroniczna i asynchroniczna)?

    Początkujący Elektronicy   06 Maj 2008 09:31 Odpowiedzi: 7    Wyświetleń: 1238
  • REKLAMA
  • Nie znalazłeś odpowiedzi? Zadaj pytanie Sztucznej Inteligencji

    Czekaj (1min)...
  • Dzielnik częstotliwości FPGA: jak uzyskać 50% wypełnienia i zadać f?

    Dla wypełnienia 50% czas stanu wysokiego równa się czasowi stanu niskiego. Moja propozycja zastosuj na wejściu zegarowym podwajacz częstotliwości (czyli fclock=20 kHz) a po dzielniku nastawnym daj dwójkę liczącą, która zrobi ci stałe 50% niezależnie od stopnia podziału dzielnika nastawnego Clock -> podwajacz -> dzielnik przez N -> dzielnik przez 2 ->...

    Początkujący Elektronicy   25 Lis 2007 05:43 Odpowiedzi: 1    Wyświetleń: 2564
  • Jak podłączyć częstotliwościomierz MC66 do trx i mierzyć BFO na wstęgach?

    Zakupiłem hercmiarkę mc66. Podobny wątek już analizowałeś. http://www.elektroda.pl/rtvforum/viewtop... Wiem, że do tp3 wystarczy podpiąć się przez kondensator kilkanaście - kilkadziesiąt piko faradów i fakt - działa. Napisz o jakim to radiu jest tu mowa. :?: Czy można się podpiąć bezpośrednio pod antenę (tak mają w serwisach)....

    Radiotechnika Początkujący   05 Paź 2011 18:47 Odpowiedzi: 4    Wyświetleń: 2581
  • REKLAMA
  • Wzmacniacz na BD911/BD912 - Problem z przedwzmacniaczem

    Czy można usunąć ten filtr, ewentualnie go zmodyfikować? Zdaje mi się, że jest on winien problemów z niskimi tonami, które brzmią płytko i tępo. To nie jest filtr, ta cewka zabezpiecza wzmacniacz przed skutkami obciążenia pojemnościowego, pojemnością kabla; cewka ma indukcyjność na poziomie setek nH dla pasma akustycznego to jak zwora, a poza tym gdyby...

    Początkujący Elektronicy   19 Kwi 2025 07:24 Odpowiedzi: 323    Wyświetleń: 3789
  • REKLAMA
  • [STM32L4] Problem z dzielnikiem szyny przy mruganiu LED na STM32L476DISCOVERY

    TIM2->PSC = (80 * 2) - 1; TIM2->ARR = 1000000 - 1; To znaczy że mocno przetaktowałeś procesor jeżeli miga Ci z częstotliwością 1Hz Zdążyłeś odpisać przed moim editem :P Dodano po 4 W ramach testu spróbuj między te dwie linijki: RCC->APB1ENR1 |= RCC_APB1ENR1_TIM2EN; /* settings */ TIM2->PSC = 20 - 1; Dodać: asm("dmb") asm("dsb")...

    Mikrokontrolery ARM   23 Lip 2018 18:40 Odpowiedzi: 26    Wyświetleń: 1092
  • Zagadka: sonda różnicowa HV jakie napięcie spowoduje uszkodzenie?

    Zaprojektowałem sobie sondę wysokiego napięcia 10kV 1MHz, która zostanie za jakiś czas opisana. Aktualnie jeszcze nie testowałem jakie napięcie wytrzyma ten dzielnik, ale w niedzielę się przekonam. Przy jakiej wartości napięcia według was sonda wyzionie ducha? Zobaczmy kto będzie najbliżej wyniku pomiaru :D Oto zdjęcie sondy: https://obrazki.elektroda.pl/4699072500_...

    Projektowanie i Tworzenie Po godzinach   29 Paź 2021 19:22 Odpowiedzi: 42    Wyświetleń: 4479
  • Wzmocnienie amplitudy i prądu sygnału prostokątnego o danej częstotliwości.

    Witam. R1 - 470 kOhm i R2 10 kOhm. https://obrazki.elektroda.pl/5812808600_... Uwy = 12V x 10000 Ohm/ 470000 Ohm + 10000 Ohm = 12V x 10000 Ohm / 480000 Ohm = 12V x 0,02083 = 0,25V. Myślę, że tak powinno być dobrze. Czyli z tego schematu co przesłałem nóżkę nr 3 - wyjście z NE 555 trzeba dać bezpośrednio do pomiarów lub nawet później...

    Projektowanie Układów   02 Mar 2020 10:02 Odpowiedzi: 24    Wyświetleń: 1605
  • zaprogramowanie wyświetlacza 7 seg plus dzielnik częstotliwości

    Benson poległ na pisaniu programu więc wklejam swój. Całkowicie leję na to ze jest Wait 1 w przerwaniu, w takim programie nic złego się nie stanie, a jak mu licznik nie zliczy 15cm drogi to afery też nie będzie. Tym bardziej że jeśli wyłączy zasilanie przed przejechaniem pełnego 1km to go straci. Nie wiadomo czy tablica przejść dla wajchy jest już zanegowana...

    Mikrokontrolery AVR   12 Lis 2012 21:09 Odpowiedzi: 8    Wyświetleń: 2223
  • Pomiar napięcia z dzielnikiem przy użyciu ADS1115 - coś z jego wejściami?

    Tak sobie właśnie policzyłem: ADC ma zakres wyników -32768..+32767 i impedancję wejściową Ri=710k, ale tylko podczas przetwarzania - kiedy nie przetwarza, wejście jest izolowane; jeśli na wejściu ADC jest kondensator o pojemności C, a czas przetwarzania jest T, to na skutek rozładowywania kondensatora prądem impedancji wejściowej ADC napięcie zmieni...

    Projektowanie Układów   27 Cze 2017 19:32 Odpowiedzi: 10    Wyświetleń: 2556
  • Generator na NE555 z regulowaną częstotliwością 5-50kHz i stałym wypełnieniem 50%

    http://www.learnabout-electronics.org/Os... [url=http://www.falstad.com/circuit/circ...

    Początkujący Elektronicy   20 Paź 2016 20:45 Odpowiedzi: 12    Wyświetleń: 1455
  • Jak osiągnąć wysoką dokładność w generatorze wzorcowym do częstościomierza?

    Zgodnie z obietnicą przedstawiam schemat generatora sygnału dla I mieszacza odbiornika ADRET. Schemat zawiera podstawową część generatora wraz z elementami filtrów odsprzęgających zasilanie. Przedstawiony schemat wystarczy by wykonać nastawnik dla generacji częstotliwości 255 kHz, co jest warunkiem odbioru Warszawy 225 kHz. Opis podzielnika syntezy...

    Radiotechnika Początkujący   12 Mar 2010 16:24 Odpowiedzi: 75    Wyświetleń: 38888
  • Program na ATmega8 do mierzenia częstotliwości i wyświetlania na LCD

    regfile = "2313def.DAT" $crystal = 11059200 Config Lcd = 16 * 2 Config Lcdpin = Pin , Db4 = Portb.5 , Db5 = Portb.4 , Db6 = Portb.3 , Db7 = Portb.2 , E = Portb.6 , Rs = Portb.7 'Config Lcdpin = Pin , Db4 = Portb.2 , Db5 = Portb.1 , Db6 = Portb.0 , Db7 = Portd.6 , E = Portb.3 , Rs = Portb.4 Cursor Off Config Pind.6 = 1 Config Pind.0 = 0 Portd.0...

    Mikrokontrolery AVR   19 Sty 2010 19:52 Odpowiedzi: 5    Wyświetleń: 1940
  • Jak zaprogramować generator na ATMega16a z joystickiem do zmiany częstotliwości?

    Witam wszystkich Dziękuje za cenne uwagi. opisany generator ma być elementem sterującym dla silnika krokowego. Mam zamiar zastosować sterownik pracujący mikrokrokowo z podziałem 1:10000 (akceptuje on zegar do 5000kHz) Sterownik jest gotowy wymaga jedynie podania zegara w takt którego będzie się obracał silnik. Zmiana kierunku odbywa się przez podanie...

    Mikrokontrolery AVR   28 Cze 2010 21:58 Odpowiedzi: 22    Wyświetleń: 5082
  • REKLAMA
  • generator dwu częstotliwości cyfrowych z płynnym podziałem

    Pomyliłem się : 10000= F1/(F1-F2) W poniższym patencie jest opisane jak dzielić częstotliwość zegara dowolnym współczynnikiem Dodano po 6 Jeśli jedna częstotliwość jest 50MHz, to druga 49,99MHz czyli minimalnie mniejsza. Pozostała logika jest taka sama dla obu zegarów. Ten scalak ICS8430BI-71 (firmy ITD) sporo kosztuje (15$) x 2 plus wysyłka (pewnie...

    Programowalne układy logiczne   01 Kwi 2009 09:58 Odpowiedzi: 9    Wyświetleń: 2501
  • Precyzyjny stoper, czasomierz na TTL

    https://obrazki.elektroda.pl/7753289800_... Przedstawiam zaprojektowany i wykonany przeze mnie stoper (czasomierz) pozwalający na precyzyjne odmierzanie długich odcinków czasu. Z rozdzielczością 1 µs można odmierzyć 10000 sekund, czyli blisko trzy godziny, natomiast z rozdzielczością 1 ms aż 10 000 000 sekund, czyli ponad 115...

    DIY Konstrukcje   05 Lis 2021 16:11 Odpowiedzi: 41    Wyświetleń: 6783
  • Wybór multimetru: UT10A czy UT120 od UNI-TREND? Opinie i funkcje

    Np. na tej stronie :http://unitrendjenny.en.ec21.com/Pocket... jest multimetr UT120 i jest podana częstotliwość pomiaru 10MHz (przepraszam, że nie dałem linka, ale zapomniałem jak go się wkleja) Wcześniej znalazłem polską stronę firmy UNI-TREND , gdzie nie ma fotografii , ale jest sam opis i też podane 10MHz....

    Warsztat co kupić?   20 Lis 2012 18:22 Odpowiedzi: 7    Wyświetleń: 5601
  • [ATmega32] Odtwarzacz wav działał na "pająka", na płytce już nie.

    To w takim razie rzeczywiście przyda się obniżyć napięcie. Nie musi to być nawet stabilizator, a np. 3 diody prostownicze w kierunku przewodzenia, szeregowo i za nimi 470µF do GND. Warto też dodać równolegle ze 100n ceramiczny i dołożyć szeregowo od strony zasilania dławik 47uH. Przeliczyłem (niewiem czy o to chodziło) według wzoru Electix a częstotliwość...

    Mikrokontrolery Początkujący   28 Sie 2012 15:16 Odpowiedzi: 108    Wyświetleń: 12156
  • Jak napisać krążącą jedynkę w VHDL na płytce Spartan 3?

    musisz stworzyć dzielnik częstotliwości o programowanej podziałce, coś jak: entity trigering_freq_gen is port( tr_clk: in std_logic; data_bus: in std_logic_vector(3 downto 0); rst: in std_logic; clk: inout std_logic); end entity trigering_freq_gen; architecture arch of trigering_freq_gen is signal count: integer range...

    Programowalne układy logiczne   12 Gru 2006 08:05 Odpowiedzi: 9    Wyświetleń: 3743
  • [Atmega128] Atmega128 USART nie wyświetla danych na terminalu - co robię źle?

    O. To już coś działa. Wygląda na to, że albo masz źle policzoną wartość UBRR albo dobrze policzoną ale błąd jest zbyt duży do poprawnej transmisji. Problem polega na tym, że wartością UBRR ustawiamy dzielnik częstotliwości dla USARTa. Można go jednak ustawić tylko z pewną dokładnością. I tak dla niektórych częstotliwości i prędkości USARTa błąd jest...

    Mikrokontrolery AVR   06 Sie 2009 19:33 Odpowiedzi: 8    Wyświetleń: 4104
  • [Nucleo l053R8] Jak ustawić czas trwania okresu w timerze na Nucleo l053R8 (Cortex m0+)?

    W zasadzie to program jest taki sam jak w pierwszym poście załącze jeszcze raz: [syntax=c] #include <stdint.h> #include "inc/stm32l053xx.h" #include "config.h" #include "hdr/hdr_syscon.h" /* +=======================================... | module variables +=======================================...

    Mikrokontrolery ARM   28 Gru 2016 22:28 Odpowiedzi: 47    Wyświetleń: 3945
  • Dzielnik częstotliwości i licznik w XC3s500E - losowe działanie diod na Spartan 3E

    Witam! Stworzyłem w VHDL'u prosty licznik i dzielnik częstotliwości. Po zaimplementowaniu w układzie, układ szaleje, tzn. diodki zapalają się w losowej kolejności, niektóre świecą jaśniej bądź ciemniej... Używam płytki Spartan 3E starter kit. Licznik: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL;...

    Programowalne układy logiczne   06 Lip 2007 07:56 Odpowiedzi: 4    Wyświetleń: 1764
  • VHDL: Generator DDS - brak sygnału na oscyloskopie, kod bez błędów, tylko szum

    Witam. Mam do projekt do oddania, który polega na napisaniu w języku VHDL, generatora DDS, które będzie generował przebieg sygnału sinus lub trójkąt (w zależności od ustawienia) na 32 punktach osi wraz z regulacją częstotliwości (16 danych częstotliwości) za pomocą suwaków na mikrokontrolerze. Do mikrokontrolera jest dołączony przetwornik C/A pozwalający...

    Mikrokontrolery   16 Maj 2017 07:52 Odpowiedzi: 2    Wyświetleń: 954
  • Jak stworzyć pulsujący sygnał CLOCK w VHDL bez użycia 'wait for'?

    No to ja to inaczej rozumiem bo to co dałeś w komentarzu czyli CLK : in STD_LOGIC; -- zegar wejsciowy np 1 Hz to totalna bzdura z zegra 1Hz nie ma możliwości aby dzielnik dał ci 10ns. Wyjdźmy od początku. Na wejściu masz częstotliwość X i chcesz z niej otrzymać okres o czasie trwania 10 ns to masz trzy przypadki 1) Częstotliwość X jest większa czyli...

    Programowalne układy logiczne   25 Paź 2011 18:33 Odpowiedzi: 13    Wyświetleń: 3046
  • XMega 64/128A3 - Konfiguracja DMA z wyzwalaczem TCC0_CCA

    Witam , Po dłuższej przerwie próbuję się przeprosić z AVR-ami , przechodząc jednocześnie do XMega, zadanie jest proste - pomiar obrotów z wejścia PC0 . 1. Timer TCC1 - odmierza czas 100us 2. Timer TCC0 - używa przepełnień TCC1 jako zegara , strobem do pomiaru okresu / "częstotliwości" jest routowany sygnał z PC0 3. Flaga TCC0_CCA ma być z kolei wyzwalaczem...

    Mikrokontrolery AVR   25 Lis 2016 11:40 Odpowiedzi: 1    Wyświetleń: 897
  • [Altera Cyclone II Quartus] - Błąd kompilatora - błędna składnia

    OK!! Zaczynam to wszystko kumać :) w końcu. Wielkie dzięki :) Jeszcze jedno pytanko bo dorwałem małego evalboarda, zlutowałem diody na uniwersalnej itd. Stan wejść będę ustawiać poprzez zwarcie do masy na zworce więc muszę to wejście ustawić jako pullup. Gdzie to się ustawia w Quartusie ? Edit: Dobra już wiem działa. Wystawiłem tylko jedno wejście i...

    Programowalne układy logiczne   23 Maj 2014 19:19 Odpowiedzi: 11    Wyświetleń: 2553
  • Dlaczego wzmacniacz LMC6482 daje 0V na wyjściu przy 100 kHz?

    Zazwyczaj zakłada się że wzmocnienie WO w otwartej pętli jest bardzo duże, to pozwala przyjąć uproszczenie że wzmocnienie zależy tylko od rezystorów sprzężenia zwrotnego, a zniekształcenia są redukowane do znikomych wartości. Kiedy ten warunek nie jest spełniony, nie można używać uproszczonych wzorów na wzmocnienie. Widać, że dla 100kHz jest 20dB, więc...

    Początkujący Elektronicy   08 Gru 2013 20:17 Odpowiedzi: 15    Wyświetleń: 3489
  • Tiny Gad A- żegnajcie nudne wyświetlacze ;)

    http://obrazki.elektroda.pl/7805368800_1... http://obrazki.elektroda.pl/4555306000_1... Tiny Gad A- żegnajcie nudne wyświetlacze ;) Prawie luxomierz na ATTINY13 Nie da się ukryć, że z pewnych kontrowersyjnych ;) powodów szczególną sympatią darzę najmniejsze z procesorów atmela. Przedstawiona konstrukcja kolejny raz opiera...

    DIY Konstrukcje   22 Lut 2013 14:11 Odpowiedzi: 23    Wyświetleń: 20016
  • Częstościomierz ATmega8 ASM LED - Błąd powyżej 10MHz, prośba o poprawę kodu

    Witam. Dziękuję za zainteresowanie Kolegów tym tematem. Link działa poprawnie, proszę nie sugerować mi "niecnych zamiarów" Kol. emarcus. Kol. komnetsuwalki , ja napisałem o dzielniku 74S74, który zastosowałem (autor tego miernika również ). U mnie miernik pokazywał tylko do 10MHz, powyżej tej częstotliwości również pracował ale wynik pomiaru był dekodowany...

    Mikrokontrolery AVR   03 Kwi 2016 17:36 Odpowiedzi: 10    Wyświetleń: 2517
  • Kurs XMEGA (02) - pierwszy program

    Dorzucę się do tematu leonowa32, który podjął się rozpoczęcia kursu Xmega. W wolnej chwili czasu naskrobałem funkcję do uruchomienia generatora kwarcowego i pętli PLL. Dla wartości 16 MHz można ustawić F_CPU 1MHz, 2MHz, 4MHz, 8MHz, 16MHz, 32MHz.Procedura nie wyłącza wewnętrznego generatora RC 2MHz, a do kompletu brakuje konfiguracji przerwania NMI sygnalizującego...

    Artykuły   27 Gru 2013 17:46 Odpowiedzi: 4    Wyświetleń: 5514
  • Budżetowy licznik częstotliwości 0,1Hz - 42MHz (1.6GHz) i czasu 24ns - 10s

    pokazałbyś jakieś fragmenty kodu źródłowego, przynajmniej te odpowiedzialne za pomiar okresu i częstotliwości? Czas, odczyt: I jeszcze pytanie o obwód wejściowy ze wzmacniaczem WE. Planujesz go jeszcze zmodyfikować? Nie. Jak już pisałem jest dodany "na sztukę". Planuję zrobić w miarę porządny obwód wejściowy. Będzie sterowany przez i2C. Wejście LF...

    DIY Konstrukcje   30 Paź 2020 21:26 Odpowiedzi: 52    Wyświetleń: 9225
  • LPC17xx Timer Counter Capture - Pomiar częstotliwości na wejściu Capture LPC1769

    Witam po krótkiej przerwie, Czy dobrze rozumiem, że najpierw konfiguruję wejście CAP0.0 (pin P1.26), oraz Timer0 jako wejście, czyli licznik. Potem ustalam na drugim timerze tj. na Timerze1 odliczanie np. 100 ms, w czasie których Timer0 na wejściu CAP0.0 zlicza liczbę impulsów (w zasadzie liczbę zboczy opadających) i przechowuje je w rejestrze TC Timera0....

    Mikrokontrolery ARM   01 Lis 2014 15:47 Odpowiedzi: 3    Wyświetleń: 2223
  • [C] Klakson samochodowy i regulacja częstotliwości oraz wypełnienia

    Cześć, wybaczcie, że nie pisałem, ale nie mogę się dogadać z kartą SD za pomocą atmega8 1MHz(wewnętrzny). Próbowałem z dwiema kartami. Za każdym razem funkcja disk_initialize nie zwraca mi FR_OK. Dokładnie sprawdzałem zasilanie, na kartę daję 3V3, a na mikrokontroler 5V. Pomiędzy liniami danych z uC do SD dałem dzielnik rezystorowy 510om i 1kom(napięcie...

    Mikrokontrolery   10 Lip 2011 10:15 Odpowiedzi: 53    Wyświetleń: 11871
  • Arduino R4 - tutorial DAC, czyli generujemy sinusoidę i odgrywamy melodię

    https://obrazki.elektroda.pl/6651795200_... Arduino R4 posiada wbudowany 12-bitowy DAC (Digital-to-Analog Converter), czyli jest w stanie generować sygnał analogowy bez podłączania dodatkowych modułów. Jest to duży krok naprzód, ponieważ w przypadku starszego Uno R3 i podobnych płytek musieliśmy dołączać zewnętrzne DAC takie jak MCP4725...

    Artykuły   13 Lis 2023 10:45 Odpowiedzi: 4    Wyświetleń: 3402
  • Jak zbudować oscylator na rezystorach do CD74HCT4060E?

    Witaj "gościu" :) Więc tak: 1) Spójrz jeszcze raz na rysunek, który wkleiłem wyżej. 2) Wyobraź sobie, że rezystor Rt zastępujesz potencjometrem i rezystorem połączonymi szeregowo. 3) Potencjometr, który sobie wyobraziłeś będzie Ci służył do regulacji częstotliwości. 4) Dołączam Ci plik Excel'owy, który zrobiłem do obliczania częstotliwości generowanej...

    Projektowanie Układów   01 Maj 2007 09:38 Odpowiedzi: 14    Wyświetleń: 2218
  • Jak zmniejszyć tętnienie 350mV w przetwornicy step up?

    Chyba nie do końca rozumiem filtry LC... Czy jeśli tętnienie mojej przetwornicy ma częstotliwość np. 500kHz , to każdy filtr dolnoprzepustowy który ma częstotliwość graniczną mniejszą od 500kHz będzie tłumił te tętnienia ? W porównaniu z dokładaniem samego kondensatora pojawia się jeszcze indukcyjność która wraz z kondensatorem tworzy dzielnik, stąd...

    Początkujący Elektronicy   02 Cze 2018 21:53 Odpowiedzi: 5    Wyświetleń: 1188
  • Wyświetlanie i sterowanie czasem na kilku wyświetlaczach

    Ad wariant 1 z wpisu #12: zamiast operować z poziomu kodu programu na zawartości rejestru TWBR (a trzeba to robić w odpowiednim momencie), możesz zmienić domyślną wartość 100000 Hz częstotliwości I2C w pliku hardware/libraries/Wire/utility/twi.h: #ifndef TWI_FREQ #define TWI_FREQ 100000L #endif Jeżeli zmodyfikujesz tę wartość, powinieneś wykasować pliki:...

    Początkujący Elektronicy   01 Cze 2016 09:56 Odpowiedzi: 32    Wyświetleń: 2613
  • Pomiar analogowy na uP - dostosowanie poziomu napiec

    Cześć !!! Metod pomiaru jest wiele :) 1. Zastosowanie układu przesuwania poziomu 0 z wykorzystaniem źródła referencyjnego np. 2,5V oraz aktywny dzielnik napięcia. Ale rozdzielczość A/C dzieli się na 2x15V. 2. Druga możliwość stosując inwerter napiecia -15V i mierząc jednym kanałem napiecie +15V, drugim kanałem -15V (Atmega 8 ma 6 kanałów). Rozdzielczość...

    Mikrokontrolery   24 Lis 2007 16:20 Odpowiedzi: 15    Wyświetleń: 2937
  • [STM32F2] Dlaczego kasowanie flagi przerwania wpływa na GPIO?

    Witam! Timer generuje przerwanie periodyczne. W procedurze obsługi testowo chciałem przełączać stan na jednej z linii portu GPIO (prymitywny debug na LED), ale... okazało się, że nie działa. W pierwszej chwili szukałem błędów w inicjalizacji timera, ale później się okazało, że przerwania się generują, tylko ja tego nie widzę, bo nie wykonuje się przełączenie...

    Mikrokontrolery ARM   13 Lis 2011 22:43 Odpowiedzi: 7    Wyświetleń: 2440
  • Jak wyciąć część sinusoidy na AVR do sterowania światłem 230V?

    "0x" oznacza, że liczba jest zapisana szesnastkowo. Projekt marchewy jest pod AVR, tam chyba jest trochę inaczej inaczej z timerem, jest mozliwość skokowej regulacji preskalera(dzielnika częstotliwości) od 1 do 1024. Liczniki w AVR liczą w górę do przepełnienia, czyli żądaną wartość trzeba najpierw odjąć od wartości maks. licznika i dopiero wstawić...

    Mikrokontrolery   25 Mar 2010 01:24 Odpowiedzi: 49    Wyświetleń: 14012
  • Cyfrowy rejestrator napięcia na Atmega8

    http://obrazki.elektroda.net/5_125009251... Witam. To moje pierwsze DIY na elektrodzie. Chciałbym przedstawić przyrząd pomiarowy własnej konstrukcji, który z założenia ma pełnić rolę cyfrowego rejestratora napięć do zastosowań diagnostycznych. Układ został oparty na mikrokontrolerze ATMEGA8 zaprogramowanego w języku C. Obwód wejściowy oparty...

    DIY Konstrukcje   19 Sie 2009 11:59 Odpowiedzi: 41    Wyświetleń: 18965
  • NXP LPC1768 i wewnętrzny przetwornik ADC - nie działa

    Przeanalizowałem kod z przykładu pobranego ze strony NXP "Sample Code ADC" (kod niestety nie działa... ;/) i z dokumentacją napisałem kod, który zatrzymuje się w funkcji int ADC_GetData (uint8_t ChannelNumber) przed linijką [syntax=c]LPC_ADC->ADCR |= (1<<ChannelNumber);[/syntax] i nie wiem dlaczego tak to się zachowuje :?:. [syntax=c]#include...

    Mikrokontrolery ARM   22 Cze 2011 11:56 Odpowiedzi: 21    Wyświetleń: 2192
  • Koncepcja zasilacza warsztatowego 100V - sugestie, porady, rozwiązania?

    To "genialnie proste" rozwiązanie z mojego ostatniego posta pogorszyło regulację napięcia, co się okazało gdy symulowałem zmianę obciążenia w trybie CV. Przy rezystorze 1k napięcie bez obciążenia, lub z małym obciążeniem podskoczyło do bodaj 95V. Przy 4,7k napięcie spadło do 77V, zwiększanie jego wartości nie poprawia zbyt wiele. Przed dodaniem tego...

    Układy Zasilające   17 Wrz 2020 09:00 Odpowiedzi: 102    Wyświetleń: 3570
  • Archeologia elektroniczna, czyli historia biednego oscyloskopu. Spełnienie dziecięcego marzenia…

    Dzisiaj oscyloskop jest tak tani, że na jego kupno pozwolić może sobie każdy. Koszt zakupu biednego oscyloskopu cyfrowego o pasmie 200 kHz, reklamowanego jako ręczny oscyloskop oscyluje w granicach 100-150 zł, zwykły dwukanałowy oscyloskop cyfrowy można mieć już poniżej 1000 zł. Są oczywiście i droższe modele, powyżej 2500 zł. Możliwości współczesnych...

    Artykuły   01 Lut 2024 01:08 Odpowiedzi: 17    Wyświetleń: 3411
  • Kwarcowe rezonatory i generatory zegarowe - kompendium

    https://obrazki.elektroda.pl/3265063200_... Zdolność precyzyjnego odmierzania czasu leży u podstaw rozwoju całej ludzkiej cywilizacji. Stworzenie zegara, który działa w każdej pozycji i w każdych warunkach leżało u podstaw nawigacji morskiej. O ile szerokość geograficzną da się obliczyć na podstawie wysokości słońca nad horyzontem,...

    Poradniki Elektronika   16 Paź 2022 18:05 Odpowiedzi: 45    Wyświetleń: 5613
  • Przeróbka kitu AVT-984 na odbiornik AM 108MHz - zmiana demodulacji i selektywności

    Witam kolego. Sorry, że tak późno odpowiadam ale dopiero znalazłem Twojego posta. Ale od początku. Jeśli rzeczywiście zamierzasz bawić się emisją ujawniającą, odbiornik z kitu AVT Ci nie wystarczy. Tak naprawdę potrzebujesz odbiornika z pasmem IF min. 5-10 MHz przy czym im szersze tym lepiej. Jeśli będziesz próbował odbierać emisję od monitora przy...

    Radiotechnika Początkujący   15 Cze 2009 16:06 Odpowiedzi: 10    Wyświetleń: 11559
  • Jak zbudować urządzenie wykrywające migotanie żarówki z alarmem dźwiękowym?

    ;) proszę http://www.falstad.com/circuit/ File=>import $ 1 5.0E-6 6.450009306485578 58 5.0 50 g 320 272 320 336 0 t 144 192 224 192 0 1 0.0 0.0 100.0 r 224 112 224 176 0 100.0 r 144 112 144 192 0 100.0 r 144 192 144 256 0 1000.0 g 144 256 144 304 0 R 352 112 432 112 0 0 40.0 5.0 0.0 0.0 0.5 w 224 112 144 112 0 R 352 144 432 144 0 5 50.0 5.0 0.0 0.0...

    Początkujący Naprawy   18 Lis 2010 15:25 Odpowiedzi: 9    Wyświetleń: 1721
  • Arduino DUE - Programowe filtrowanie szumów sinus podczas odczytu z ADC

    Witam, troszeczkę czasu minęło i program udało sie po małej przerwie w końcu dokończyć (tzn. żeby osiągnąć tzw minimum jakie było zakładane). Zmianie uległo dosyć sporo, odszedłem od sposobu pomiaru Sinus prądu i Sinus napięcie, oraz wyliczania Cos Fi między nimi, ze względu na fakt, że faktycznie kształt sinus prądu i napięcia są krótko mówiąc dosyć...

    Arduino   09 Lut 2016 22:20 Odpowiedzi: 3    Wyświetleń: 1965
  • 25LC1024 - LPC1769 + SPI + Flash 25LC1024 - nie zapisuje do pamięci

    Witam po krótkiej przerwie, Pozmieniałem nieco czasy zmiany stanów linii !CS i pamięć działa - na razie na kabelkach i na prędkości zegara 10 kHz :D. Dodanie szeregowo rezystora 100 Ω na linię zegarową (SCK) niczego nie zmienia - te zakłócenia od linii zegarowej dalej przenoszą się na linię SO. Poniżej kod źródłowy samej obsługi pamięci flash SPI:...

    Mikrokontrolery ARM   20 Kwi 2015 08:00 Odpowiedzi: 25    Wyświetleń: 2142
  • Instrukcja budowy zegara NIXIE - schematy i lista potrzebnych elementów

    Dziękuję :) ps. a co myślicie o takich zegarach (gdzieś w połowie tekstu umieszczone są PDFy)? Zdecydowanie nie podoba mi się multiplexowe sterowanie lamp NIXIE. To co było dobre w przypadku wyświetlaczy LED nie jest dobre w przypadku lamp jarzeniowych. O ile starsze wykonania wyświetlaczy LED z GaAsP (np. krajowy czerwony CQYP95) miały tym większą...

    Początkujący Elektronicy   02 Gru 2009 11:09 Odpowiedzi: 10    Wyświetleń: 4989
  • ADF4001 - Problemy z pętlą PLL w radioodbiorniku na pasmo lotnicze 118-136 MHz

    Witam Wszystkich, Zwracam się do Was z prośbą pomocy w rozwiązaniu problemu. Temat umieszczam w dziale "początkujący", ponieważ sam czuje się początkujący w tej dziedzinie i z góry przepraszam za błędy/przejęzyczenia wynikające z mojej niekompetencji. Mianowicie składam radioodbiornik z podwójną przemianą ( ->45 http://obrazki.elektroda.pl/1689133900_1...

    Radiotechnika Początkujący   18 Sie 2014 16:32 Odpowiedzi: 4    Wyświetleń: 1230
  • Jak zmierzyć częstotliwość sygnału na porcie P3.4 w asemblerze?

    no jazda :D najpierw obliczenia dla częstotliwości fclk = 12 MHz, licznika jest w stępnym dzielnikiem przez 12 wiec fl = 12MHz/12 = 1MHz T = 1/fl -> 1us czas który zliczasz jest równy 1s 1s:1us = 1 000 000 cykli 1 000 000 : 65536 = 15 r16960 //pojemność licznika (65536) 65536 - 16960 = 48576 (wartość początkowa) a do jakigoś licznika dajesz 15 + 1 czyli...

    Mikrokontrolery   10 Cze 2003 21:27 Odpowiedzi: 4    Wyświetleń: 1414
  • Szukam układu timera do karmidła: 6-12V, 5s/24h, tanio

    Moim zdaniem odmierzać 24 godziny trzeba w miarę dokładnie - inaczej z dnia na dzień będzie narastało przesunięcie pory zadziałania. Czyli wypada użyć generatora z kwarcem - może zegarkowym 32768 Hz, bo o taki w miarę łatwo. Do tego CD4060 (to jest generator z układem dzielenia częstotliwości przez 2^14 = 16384) i mamy sygnał 2Hz, albo CD4045 (podobny,...

    Inne Co kupić?   11 Cze 2019 12:08 Odpowiedzi: 33    Wyświetleń: 1353
  • Jak połączyć czujnik DS1822 z płytką DE2 używając VHDL?

    Faktycznie mój post był bardzo lakoniczny, i stwierdzenie ze nic nie działa jestr bez senu, chodziło mi tylko o to czy ktoś może ma jakieś gotowe rozwiązania. Ja znalazłem taki plik: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating...

    Programowalne układy logiczne   03 Lis 2009 13:05 Odpowiedzi: 8    Wyświetleń: 2592
  • Korekta kodu VHDL dla wyświetlacza LED na Spartan 3

    Cześć, Dopiero się uczę VHDL i mam do zrobienia zadanie http://www.ue.eti.pg.gda.pl/fpgalab/zada... Nie wiem czy dobrze. Proszę o pomoc przy korekcie jak coś jest nie tak. --########## DZIELNIK CZĘSTOTLIWOŚCI ########################################... library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.std_logic_arith.all;...

    Programowalne układy logiczne   26 Mar 2010 14:21 Odpowiedzi: 4    Wyświetleń: 4775
  • Krzysioplayer -odtwarzacz plików NSF z Pegasusa a'la C64 SID,hardware nsf player

    http://obrazki.elektroda.pl/4029783300_1... Układu SID (6581 stary i 8580 nowy), będącym muzyczną orkiestrą komputera Commodore 64 nie trzeba nikomu przedstawiać – między innymi dzięki jego brzmieniu owa maszyna osiągnęła tak dużą popularność. Warto tylko przypomnieć, że SID ma jedynie trzy kanały, ale umiejętności programistów...

    DIY Konstrukcje   03 Lis 2015 00:57 Odpowiedzi: 6    Wyświetleń: 8853
  • Projekt aktywnej osłony radiacyjnej na ESP32-S3FN8 z zasilaniem solarnym i Li-ion

    Witam wszystkich serdecznie. Na wstępie chciałbym zaznaczyć, że jestem mocno początkujący, więc za wszelkie błędy w rozumowaniu z góry przepraszam. Chciałbym zapytać doświadczonych elektroników i konstruktorów o kilka rzeczy, które związane są z projektowaniem układów elektronicznych. Mam pomysł zbudowania aktywnej osłony radiacyjnej z samowystarczalnym...

    Mikrokontrolery Początkujący   23 Mar 2025 21:44 Odpowiedzi: 24    Wyświetleń: 360
  • Czy podkręcenie Pentium Dual Core E5200 i wymiana zasilacza poprawi FPS w CS:GO?

    Chodziło mi o profile JEDEC najwolniejszego z zainstalowanych modułów (zakładka SPD w CPU-Z). Jeśli są na na 400MHz(800MHz Efektywne) to jest całkiem sporo MHz do zyskania. Zwłaszcza, że ten CPU ma FSB800 a wg producenta płyta obsłuży bez problemu FSB1333, więc sama płyta raczej nie będzie ścianą FSB w przypadku tego CPU. Generalnie tak: Wyłączasz wszystkie...

    Strefa gracza   05 Kwi 2016 18:41 Odpowiedzi: 8    Wyświetleń: 1698
  • [Minitutorial PIC32] Uruchamiamy PIC32MX795F512H na uniwersalnej płytce pod TQFP

    https://obrazki.elektroda.pl/2718988000_... Witajcie moi drodzy W tym temacie pokażę jak każdy początkujący może najprościej uruchomić w warunkach amatorskich mikrokontroler PIC32MX795F512H bez potrzeby trawienia/kupowania pod niego konkretnego PCB. Oprócz minimalnego niezbędnego układu pracy tego mikrokontrolera umieszczę tutaj kilka...

    Artykuły   02 Lis 2020 21:08 Odpowiedzi: 4    Wyświetleń: 2853
  • Wzmacniacz zintegrowany "Eugeniusz" do zestawu Retro Tower by bsw

    https://obrazki.elektroda.pl/3458645800_... 1. Geneza Budowę wzmacniacza audio planowałem już od pewnego czasu, stąd gromadziłem przydatne do jego budowy elementy. Jednak nie mogłem się zdecydować na ostateczną koncepcję. Do działania zainspirował mnie kol. https://obrazki.elektroda.pl/8178345700_... Zestawy wieżowe...

    DIY Akustyka   02 Lut 2021 17:02 Odpowiedzi: 29    Wyświetleń: 8130
  • Korzystanie z UART jako protokołu komunikacyjnego dla sprzętu - transmisja pakietów

    UART, czyli uniwersalny asynchroniczny nadajnik-odbiornik szeregowy , jest jednym z najczęściej używanych protokołów komunikacyjnych typu urządzenie-urządzenie w systemach wbudowanych i nie tylko. W poniższym artykule opisano, jak używać UART jako protokołu komunikacyjnego dla sprzętu, postępując zgodnie ze standardową procedurą. Po prawidłowej konfiguracji...

    Poradniki   22 Sty 2021 11:18 Odpowiedzi: 0    Wyświetleń: 1773
  • Realizacja komunikacji USB z procesorem AVR

    http://obrazki.elektroda.pl/9646514900_1... Od kiedy autor odkrył, że możliwe jest zbudowanie urządzenia wyposażonego w interfejs USB i opartego o procesor AVR, postanowił samemu takowe zbudować. Ponieważ opisy zastosowania bibliotek USBtiny i – bardziej wyczerpujący - V-USB były zbyt skomplikowane, autor postanowił stworzyć własny...

    Artykuły   24 Mar 2013 18:35 Odpowiedzi: 0    Wyświetleń: 18408
  • [ATttiny24A] ATtiny24A jako SPI Master nie komunikuje się z Atmega8A Slave - co robić?

    Witam! Mam problem, żeby uruchomić USI jako SPI w ATtiny, chciałbym na początek skomunikować ATtiny24A (MASTER) z Atmega8A (SLAVE). Jednak Slave nie chce odbierać żadnych danych. Poniżej zamieszczam kody obu uC: Kod Atmegi: [syntax=c]#define F_CPU 8000000 #include <avr/io.h> #include <util/delay.h> #define SPI_DDR DDRB #define SPI_PORT PORTB...

    Mikrokontrolery AVR   10 Mar 2012 17:57 Odpowiedzi: 0    Wyświetleń: 1053