Superszybkie systemy pomiarowe wymagają dużej ilości precyzyjnych sygnałów zegarowych, o możliwie niskim poziomie szumu fazowego. Do stworzenia tego rodzaju systemu potrzebny jest szereg elementów toru zegarowego, które połączone ze sobą mogą być w różnych topologiach. Dobór architektury systemu taktowania ma duży wpływ na działanie systemu. Dobór...
Może nie jest to zupełnie to, czego szukasz, ale może Ci co nieco podpowiedzieć ;) library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_arith.all; use IEEE.STD_LOGIC_unsigned.all; entity zegar is port( clk : in std_logic; rst : in std_logic; sekset : in std_logic; minset : in std_logic; godzset : in std_logic; loadset...
Niedawno uruchomiłem pierwszy raz procesor z rdzeniem arm AT91SAM7S64 wszystko w zasadzie zadziałało poza inicjacją zewnętrznego zegara. Przeczytałem już kilka razy rozdział "Clock Generator" i dalej nic z tego nie wynika. Procesor pracuje wolno, ale nie aż tak wolno jakby pracował na Slow Clock. Program pisze w CrossStudio for ARM i z tego co się już...
Jeśli będziesz próbował przerobić swój układ na sekwencyjny, to może ułatwi Ci to fragment mojego projektu zegara: process (clk_i, btn_i(3)) variable sek : integer range 0 to 60 :=0; -- sekundy jako zmienna procesowa begin if btn_i(3) ='1' then --reset (wyzerowanie zegara) sek:=0; cyf_1 <= "0000";...
Testowałem tez dzielnik na CD4027 jednakże efekt jest podobny. Pokaż schemat dzielnika. Czy za dzielnikiem jest jakiś układ uśredniający (całkujący) ? Pozdrawiam. ża dzielnikiem są zegary, w zegarach idzie do układu z oznaczeniami (VDO 007 ADS C0311), później pewnie do głównego układu, który steruje obrotomierzem oraz pozostałymi elementami zegarów)....
Problem polega na tym, że przystosowany on był do sieci z częstotliwością 60hz. W Polsce jak wiadomo jest prąd o częstotliwości 50hz, z tego wynika, że zegar się opóźnia. Jak rozwiązać ten problem? Generator 60Hz (kwarc + dzielnik czestotliwości) --> wzmacniacz --> transformator (zapewne na 120V) -->zegar.
Potrzebuję zmienić dzielnik obrotomierza w zegarach.W załączniku 2 wsady z oryginalnych,które nie działały i 2 działające.1 6cylindrów 2gi 4cyl.
2. Dla idle zmienić(zmniejszyć) częstotliwość taktowania preskalerem (niestety nie da się programowo przełączyć źródła sygnału zegarowego w AVRach) Nowe AVR maja programowy dzielnik oscylatora
Schemat ideowy i fotki może kolega sobie zobaczyć i ew. ściągnąć z linku: Złącza G1 i G2 to nic innego jak wyjścia interfejsu równoległego (poziom TTL, kod BCD) do współpracy z urządzeniami takimi jak np. drukarka, zewnętrzne repetytory itp. Wyprowadzono tam także sygnały z generatora/ dzielnika częstotliwości - zegar mógł służyć również jako wzorzec.
Dziękuje za odpowiedzi, Zebrałem się i napisałem jeszcze raz zegarek w innej wersji. library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity clock2 is Port (bt1 : in std_logic; --ustawianie godzin bt2 : in std_logic; --ustawianie minut clk : in std_logic; --zegar led : out...
Czy chodzi Ci o zegar RTC? 00h sekundy zegara 01h sekundy budzika 02h minuty zegara 03h minuty budzika 04h godziny zegara 05h godziny budzika 06h dzień tygodnia 07h dzień miesiąca 08h numer miesiąca 09h ostatnie 2 cyfry roku 0Ah rejestr stanu A (tempo,dzielnik,aktualazaja zegara) 0Bh rejestr stanu B (letni/zimowy, 12h/24h, BIN/BCD, przerwania, aktualizacja...
Najprosciej dzielnikiem napiecia:
A napewno masz w fusach dobrze ustawione ?? Zadnego dzielnika zegara itd ??
Możesz zmierzyć napięcie na pinie 5? tylko po co? Skoro ma za niskie napięcie na wyjściu, to i tam będzie poniżej wartości napięcia odniesienia, którego wartość wynosi 1,250 V Nie sądzę, iż by miał źle dobrany dzielnik sprzężenia zwrotnego.
Witam. Piszę program a konkretnie tworzę moduł dzielnika częstotliwości. Zegar 25 Herz...więc nie gra to roli. Mój kod wygląda następująco: entity dzielnik is Port ( Clk : in STD_LOGIC; out1 : inout STD_LOGIC :='0'; out2 :inout STD_LOGIC :='0'; out3 : inout STD_LOGIC :='0'); end dzielnik; architecture Behavioral of...
Witam, pytanie na forum się pojawiło, ale bez odpowiedzi. Czy ktoś wie jak zrealizować poniższe zadanie, korzystając jedynie z przerzutników typu D? Najlepiej schemat. Zaprojektować dzielnik częstotliwości zegara przez 6. Dodatkowe wejście sterujące x informuje o wypełnieniu sygnału wyjściowego. Jeżeli x=0, ma być "tyle 0 ile 1" (wypełnienie 1/2), a...
write_variable wyświetla na lcd wartośc zmiennej write_char - wysyła znak na lcd - w tym wypadku - ":" obydwie funkcje zajmują kilka poleceń zmiany stanów na porcie Opóźnienie wprowadzał sposób zliczania czasu - sekundy i minuty zerowały się po osiągnięciu wartości 61. Nie za bardzo rozumiem dlaczego wartość OCR1A ma być mniejsza. Mógłbyś mi to wytłumaczyć...
Witam wszystkich, mam problem z poniższym zadaniem: Zaprojektować dzielnik częstotliwości zegara przez 6. Dodatkowe wejście sterujące x informuje o wypełnieniu sygnału wyjściowego. Jeżeli x=0, ma być "tyle 0 ile 1" (wypełnienie 1/2), a jeżeli x=1 to ma być "więcej 0" (wypełnienie 1/3). Na wyświetlaczu lub diodzie LED wyświetlić stan wyjścia. Na dodatkowym...
Zdolność precyzyjnego odmierzania czasu leży u podstaw rozwoju całej ludzkiej cywilizacji. Stworzenie zegara, który działa w każdej pozycji i w każdych warunkach leżało u podstaw nawigacji morskiej. O ile szerokość geograficzną da się obliczyć na podstawie wysokości słońca nad horyzontem, o tyle określenie długości wymaga wiedzy, która jest godzina...
Analog Devices wprowadza do produkcji nowy układ generatora i konwertera sygnału zegarowego. AD9525 to dedykowany do systemów LTE i GSM układ generujący do ośmiu wyjść zegarowych. AD9525 zapewnia do ośmiu wyjść LVPECL z sygnałem zegarowym. Układ charakteryzuje się niskim szumem fazowym oraz niewielkim poborem prądu. Wyposażono go w wbudowaną pętlę...
Witam, chciałbym przedstawić swój zegarek elektroniczny. Zegarek jest wykonany w technologii CMOS. Taktowany generatorem stabilizowanym rezonatorem kwarcowym. Po wykalibrowaniu można osiągnąć dokładność do 0,26s na dobę, co jest bardzo dobrym wynikiem. Pobór mocy z sieci wynosi 2,8W. Zegar jest wyposażony w układ automatycznej regulacji jasności wyświetlaczy,...
Robię układ który wykorzystuje kwarc zegarkowy podpięty do pinów tosc1 i tosc2. Ale czas odmierzany w ten sposób różni się o rzeczywistego o 1 sek na minutę ( zegarek na atmedze późni) kwarc mam podpięty bez żadnych kondensatorów, ale założenie 15pF nie poprawia pracy zegarka. W czym leży problem? //timer 2 8bit konfiguracja (zegarek) TCCR2=0;...
Układ AD9577 zapewnia generację i dystrybucję zegara. Jego funkcjonowanie opiera się o dwie pętle PLL - PLL1 i PLL2, zoptymalizowane do generowania przebiegów zegarowych. Pętla PLL oparta jest o tradycję i umiejętności firmy Analog Devices, która wielokrotnie sprawdziła się na rynku producentów PLL o niskim szumie fazowym i wysokich parametrach pracy....
Wstęp Najistotniejszą inspiracją do stworzenia tego projektu była próba odpowiedzi na pytanie - ile da się upchnąć w urządzenie wielkości zegarka naręcznego, tak w kwestii sprzętu jak i oprogramowania. Samo urządzenie jest niewiele większe niż zastosowany wyświetlacz. Do projektu wybrano wyświetlacz OLED ze względu na wyjątkowo cienką budowę (jedyne...
Chyba nie ma sensu obliczanie chwilowego, średniego itp. okresu balansu. Należy porównywać fazę "cyknięć" balansu z fazą generatora odniesienia. Porównanie faz należy przeprowadzać w dłuższym czasie, co najmniej kilkunastu sekund, aby zorientować się, czy różnica faz rośnie czy maleje. W moim uproszczonym chronokomparatorze porównywałem fazy, a właściwie...
Do AD9551 podłączyć można jeden, bądź dwa zegary referencyjne (o częstotliwości od 19.44 do 806 MHz ), z których układ ten generuje jeden, bądź dwa, sygnały zegarowe (o częstotliwości od 10 do 777.6 MHz). Częstotliwości tych sygnałów są ze sobą harmonicznie powiązane, za pomocą programowalnego współczynnika (od 1 do 63). Układy wejściowe i wyjściowe...
Witam ponownie Tym razem chciałbym zaprezentować projekt lżejszego kalibru - zegarek wskazówkowy i termometr zbudowany na AT91SAM7S64. Wykonałem ten projekt, bo chciałem trochę pouczyć się programowej obsługi wyświetlacza graficznego z Nokii 3310, programowej obsługi enkodera inkrementalnego i układu termometru DS18B20. Wyświetlacz graficzny jest zamontowany...
Firma Lattice Semiconductor, świętująca 50 lat w branży półprzewodników, wykorzystuje możliwości precyzyjnego pomiaru czasu z technologią marki SiTime, do swoich rozwiązań FPGA o niskim poborze mocy. Dostawca FPGA z Hillsboro w stanie Oregon zintegruje oparty na zegarach mikroelektromechanicznych (MEMS) — Clock-System-on-a-Chip (ClkSoC) — oraz Emerald...
Jeśli to zegar samochodowy, to te przewody to: - masa, - zasilanie stałe, - zasilanie po stacyjce, - światła pozycyjne. Wystarczy odnaleźć przewód masowy. Wystarczy sprawdzić, który z przewodów jest połączony w minusami kondensatorów. Na resztę przewodów jest podawany plus, więc można je zewrzeć razem i próbować uruchomić zegar. Stałe zasilanie jest...
Rejestrator temperatury. Zbudowany dość dawno temu (nie pamiętam dokładnie kiedy). Zrobiłem go bo zawsze chciałem mieć coś takiego. Każdego dnia tworzony jest nowy plik w którym zapisywane są temperatury z czujnika. Aby nie bawić się w przyciski (chciałem wszystko zmieścić pod wyświetlaczem) postanowiłem że cała konfiguracja będzie odczytywana z pliku...
foxad2000 dzieki za pomysl,ale to chyba za droga inwestyzja,zreszta wieksza frajde bede mial jak sam zloze cos co bedzie dzialac;p Rozwiazanie Oj mi sie podoba:) tylko w karcie katalogowej nie ma nic o przyporzadkowaniu odpowiednich pinow dzielnikom czestotliwosci;/ prosze jescze o podpowiedz w tej kwestii:) co do zamyslu projektu to przydalyby sie...
to w takim przypadku musisz zrobić genarator z rezonatorem kwarcowym "zegarkowym", np. 2^15=32 768 Hz i podzielić za pomocą układu, np. CMOS 4060 (generator i dzielnik), lub CMOS 4045 z kwarcem 2^21=2 097 152 Hz , plus dalszy dzielnik przez 60, np. na CMOS 4518 (dwa dzielniki programowalne przez 10 i przez 6). Pozdrawiam
Witam wszystkich serdecznie, Po kilku latach pracy z doskoku, raz na kilka miesięcy, wreszcie powstała jako tako ostateczna wersja zegarka, który od dawna miałem w głowie. Na początku pojawił się pomysł zegara, który spełniałby kilka warunków: -wyświetlacz wykonany na lampach nixie, -możliwie mała obudowa, -elektronika zrobiona "na piechotę", -taktowanie...
A to moja propozycja potrajacza częstotliwości (a właściwie ilości) impulsów (działa od 0 do 500 Hz). Teoretycznie mimo nierównomiernego wysyłania impulsów układ odbiorczy powinien je sobie je uśrednić czasowo. Średnia impulsów wyjściowych w tym samym czasie jest dokładnie 3 razy większa. Na każdy impuls wejściowy na wyjściu pojawia się paczka 3 impulsów...
Rodzina układów LMK04000 stworzona jest do kondycjonowania sygnałów zegarowych, tak aby zapewnić niski szum i zmniejszyć jitter, a także do mnożenia ich częstotliwości w pętli PLL i dystrybucji sygnałów w całym układzie. Jitter, dzięki temu układowi może osiągnąć zaledwie 200fsRMS. Układ wytwarzać może częstotliwość maksymalnie wynoszącą 648MHz. Znormalizowane...
Witam wszystkich. Zaprojektowałem i uruchomiłem zegarek z wyświetlaczami LED(SA10-21GWA) i układem PCF8583 współpracującym z mikroprocesorem Atmega8 oraz układami PCF8574AP na szynie TWI(i2c). A teraz chciałbym go wam zaprezentować. Na początek podręcznik języka C w formie pliku pdf -> 'C.pdf' i plik -> 'atmega16mmr.pdf' ,oraz obrazki -> 'Atmega8.gif'...
30MHz?, przecież to ślimaczy (; To już 32-bitowy MicroBlaze wyciąga ponad setkę MHz w Spartan'ach 3 na włączonych peryferiach. Jak chcesz uzyskać lepsze timingi i być hardkorem, możesz ręcznie łączyć bloki CLB (; Siła tkwi w dobrym opisie... No jeszcze istnieje w "świecie logiki" takie coś jak dzielniki częstotliwości...
Nie znam się na programowaniu ,ale 20 lat temu zrobiłem zegarek (działa do dziś i budzi mnie do pracy) na układzie zegarkowym LM8560. Potrzebował on właśnie wzorca 50 Hz . Wzorzec ten otrzymałem używając kwarcu 3,2768 MHz. Po zastosowaniu dzielnika wychodziło 100Hz. Następnie dzielnik przez 2 (bo musiały byc dwa symetryczne 50Hz. Potrzebne będzie 10ms...
To nie jest kwestia pamieci tylko innych podzespolow. Przy 110 zmienia sie zegar pci/agp i wszystkie urzadzenia z tym zwiazane dostaja wyzszy zegar co nie wplywa dobrze na ich poprawna prace. Jak chcesz podkrecac to zmien plyte glowna bo ta sie do tego nie nadaje.
Obawiam się że Baskom by nie podołał. Jednoczesny pomiar z kilku termometrów DS18B20 + wyświetlanie multipleksowe + regulacja jasności wyświetlaczy + obsługa impulsatora + pomiar napięcia o podwyższonej rozdzielczości z możliwością kalibracji skali i zera + obsługa zegarka po I2C + alarmy od temperatury i napięcia mierzonego + obsługa buzerka, który...
Witam, Dziś zmagam się takimi trzema podobnymi zadankami: 1. Dzielnik częstotliwości przez 8 z wypełnieniem 50% 2. Dzielnik częstotliowści przez 2 z wypełnieniem 75% 3. Z sygnału o wypełnieniu 50% zrobić syngał o wypełnieniu 25% Wypełnienie z tego co się zdążyłem zorientować
Witam wszystkich. Tym razem w przerwach pomiędzy pisaniem programu radia internetowego i już projektem PCB do tegoż, popełniłem programik zegarka i datownika na płytkę STM32L476Discovery, gdyż chciałem się przekonać, czy rzeczywiście mikrokontroler zamontowany na tej płytce jest tak mikromocowy, jak zachwala go producent (o czym później). Przy okazji...
Dziękuję :) ps. a co myślicie o takich zegarach (gdzieś w połowie tekstu umieszczone są PDFy)? Zdecydowanie nie podoba mi się multiplexowe sterowanie lamp NIXIE. To co było dobre w przypadku wyświetlaczy LED nie jest dobre w przypadku lamp jarzeniowych. O ile starsze wykonania wyświetlaczy LED z GaAsP (np. krajowy czerwony CQYP95) miały tym większą...
Witam Przedstawiam projekt który kiedyś sobie zrobiłem z pomocą kolegi z tego forum do pokazywania stanu naładowania akumulatora, temperaturę na zewnątrz no i zegarek. W załączniku są dwie wersje. Różnica między nimi to taka że data w wersji 1 jest wyświetlana dd.mm.rr , a w wersji 2 data wyświetlana jest dd.mm.rrrr. OPIS: Wyświetlacz podłączamy pod...
By usystematyzować informację: - bateria SR44 ma typowo pojemność 145mAh - bateria CR2032 ma typowo pojemność 200mAh. Jak łatwo wyliczyć, że CR2032 ma o około 35% większą pojemność, co dla takich samych warunków użytkowania jak dwie połączone szeregowo baterie SR44 da nam wydłużenie czasu działania o taką właśnie wartość. Zastosowanie baterii CR2032...
Dzieki za podpowiedz. Juz wybebeszylem Halla z wentylatorka od PC i kombinuje z iloscia magnesow, a nie jest to latwe. Paliwo chyba tez troche zawyza. Obrotomierz podlaczony pod alternator tez fiksuje bo obroty sa X3 i prawie caly czas wskazowka jest przy koncu skali, slyszalem ze to kwestia przeprogramowania kosci pamieci 93c56 w liczniku pod katem...
Jeśli częstotliwość ma być mniejsza to można także odseparować to bramką a nastęnie przy pomocy dzielników uzyskać pożądaną częstotliwość. Warto więc wybierać okrągłe częstotliwości np. 2MHz, żeby łatwo to podzielić przez 2 a następnie przez 10 itd. Jak sugerowano wcześniej można do tego wykorzystać jeden z Timerów, który niezależnie od programu co...
Witam Ze stabilnoscia 555 jest raczej kiepsko, bo czestotliwosc jest zalezna od rezystorow i kondensatorow, a ich parametry silnie zaleza od temperatury. Lepszy od 555 bylby np. 4060 z kwarcem zegarkowym 32768Hz - ma dodatkowo wewnetrzny dzielnik (przez max 2^14), po podzieleniu czestotliwosci przez 2 (np. przerzutnik D) masz gotowy sygnal taktujacy....
A dlaczego wszyscy stosujecie taki kwarc do zegara? Polecam taki: 7,86432MHz Mozan to kupić i nadaje sie swietnie z prostej przyczyny: procesor ma dzielnik przez 12 i tajmer 16 bitowy. Na takim kwarcu bez żadnej korekcji można uzyskać przerwanie co 0,1 sekundy bez wpisywania czegokolwiek do rejestrów tajmera (korygowania). Wystarczy uruchomić tajmer...
Witam, jakieś 2 miesiące temu wykonałem zegarek autora l ukasb9 z stronki . (brawa dla autora i pozdrawiam! :) )Zastosowano w nim trafo bezrdzeniowe, co uprościło przekazywanie prądu. Program napisany przez autora(wkrótce ma być mała modyfikacja tego programu). Przymierzałem się sam do napisania programu ale muszę jeszcze opanować do porządku bascom...
Witam, Chciałem przedstawić swój projekt tak zwanego volumera. Trochę inspiracji (oraz nazwę dla urządzenia) zaczerpnąłem z EP, gdzie publikowano podobny projekt. Niemniej niniejsze urządzenie jest całkowicie moją konstrukcją zarówno jeśli chodzi o warstwę programową (z wyłączeniem bibliotek do LCD) jak i sprzętową. Tytułowy volumer to urządzenie do...
Witam wszystkich. Na początku chcę zaznaczyć, że elektronika to dla mnie hobby i sposób na odprężenie oraz nauczenie czegoś nowego, jestem osobą początkującą w tej dziedzine. Pragnę podzielić się moim projektem zegara z HDD, który konstruuję. Projekt nie jest jeszcze skończony w 100%, ale powiedzmy w 90 :) Przepraszam za zdjęcia niskiej jakości, obecnie...
Witam, mam pewien problem związany z dzieleniem częstotliwości zegara. Zostałem zobowiązany do użycia przerzutników typu d. Wiem jak dzielić częstotliwość na 1/2 oraz 1/3, a także kombinacje tych liczb (np. 3/6, 2/6, 2/4), jednak potrzebna mi częstotliwość to 2/5 oraz 3/5. Czy możliwe jest np. dodanie cyklu zegara, to znaczy wydłużenie pracy z 2/4 do...
Duże wyświetlacze lampowe Nixie, takie jak Z566M, są drogie i na aukcjach osiągają ceny powyżej 50zł/szt. Jednak nie trzeba kupować czterech lamp, by zbudować funkcjonalny i estetyczny zegar – wystarczy zaledwie jedna sztuka! Oto gustowny gadżet ozdobny, dla osób lubiących zegary z poprzedniej epoki, posiadające jednocześnie drobną nutkę nowoczesności...
Witam Kolegów elektrodowiczów! Chciałbym podzielić się swoim "dzieckiem" - jest to analogowy retro-zegar z woltomierzami, wskazującymi odpowiednio godzinę, cyfrę dziesiątek i jedności minut, a także sekundy. Zegar bazuje na platformie Arduino Nano, za podtrzymywanie czasu odpowiada moduł DS1307. Jako że nie posiadam schematu, pokrótce opiszę działanie...
Za pomocą CKMODE wybierasz źródło zegara pomiędzy HCLK a CK_ADC. z kolei w CCIPR ustawiasz źródło dla CK_ADC pomiędzy SYSCLK a PLLADC1CLK. Są to dwa multipleksery ustawione kaskadowo. HCLK nie jest tożsamy z SYSCLK, bo jest po drodze jeszcze dzielnik. Tak więc jak ustawisz CKMODE na HCLK to oczywiście CCIPR jest nieistotny, natomiast jak przestawisz...
Kiedyś w jakimś czasopiśmie widzialem generator oparty na kwarcu do tego zegarka bo swego czasu sieć energetyczna było bardzo nie stabilna i zegar potrafił sie albo bardzo spieszyć albo spóźniać był zastosowany kwarc i dzielniki chyba UCY 7490,bardzo rozbudowany będzie ten zegarek,ja kiedyś do samochodu zrobiłem na MC1203 tak jak wyżej poleca kolega...
No tak wszystko się zgadza ale zapomnieliście jeszcze o baterii która służy do zasilania tego zegara. A więc bateria---generator---dzielnik---silnik-...
Jest to zegar dla gołebiarzy. Mechanizmem zegarowym steruje scalak z napisami 1171B i poniżej 8024. Jest to jakiś generator z dzielnikiem częstotliwości. Patrzałem oscyloskopem na kwarcu przebiegi są a na wyjściu (na cewce) nie ma. Jaki to może być scalak. Czym go zastąpić? Podam kilka danych ,które pomogą go zidentyfikować: - jest 8 pinowy -1 to +...
problem tkwi w samym mikroprocesorze który jest taktowany kwarcem, uklady zegarowa dzialają tak, ze procesor częstotliwość kwarcu dzieli tyle razy aż otrzyma częstotliwość 1hz, a jeśli dzielnik częstotliwości w procku nawali liczy sobie jak mu się podoba i z tąd taka odchylka. Reasumując wymień procesor a problem zniknie - tylko czy to się oplaca......
Jak zaprojektować układ dzielnika częstotliwości dającego na wyjściu 4 przebiegi 1 Hz przesunięte względem siebie o 1/4 okresu zegara. Na wejściu 100 Hz. Czy ktoś ma pomysł jak rozwiązać to zadanie? Z góry dziękuje za odpowiedź.
Witam, jestem poczatkujacym elektronikiem i ostatnio zainteresowaly mnie kwarce (rezonatory kwarcowe). Znalazlem taki w rozebranym przeze mnie prostym budziku (na jednego "paluszka" 1.5V) ze wskazowkami i alarmem. Nadal jednak nie rozumiem do konca zasady dzialania takiego budzika (jak liczy czas) i samego kwarcu. Otoz wylutowalem kwarc z plytki budzika...
Witam, Co do układu sterownika takich zegarów zmontowałem kiedyś taki do domu, zasila on obecnie 4 zegary. Całość jest zrobiona na generatorze kwarcowym (32kHz) potem jest dzielnik tak aby uzyskać impulsy co minutę, a następnie zwykły przerzutnik D, który poprzez kondensatory (kondensatory po to żeby nie było napięcia cały czas tylko przez kilka sekund)...
Witam! Chciałbym dziś pokazać urządzenie, które powoli i z bólami tworzyłem ostatnimi czasy. Inspiracją do ruszenia z pracami były zbliżające się urodziny narzeczonej, której chciałem podarować coś nietypowego, a jednocześnie praktycznego. A że nie może być chyba nic bardziej praktycznego i przydatnego każdego dnia niż zegarek z budzikiem to wybór...
ma nawet podwójne znaczenie - jeśli podasz zły kwarc (nie taki jak jest w rzeczywistości, to program wyliczy błędne wartości ubbr i w ogóle transmisja będzie odbywać się z inną (bliżej nieokreśloną :D ) prędkością a to że założyłeś/zdjąłeś zworki do kwarcu to musisz jeszcze to odpowiednio zaprogramować fusebity, żeby procesor się przełączył się na odpowiedni...
W tym przytoczonym wątku z forum była właśnie mowa o tym, że dla wersji CMOS rezystory zamiast 1,8k mają mieć wartość `megaomów`. Dałem więc zamiast obu 1,8k po 1M, ale nic to nie zmieniło. To projekt, którego celem jest stworzenie urządzenia odgrywającego format muzyczny NSF. Jest to format muzyki z gier pod konsolę Pegasus / NES (odpowiednik formatu...
Kiedyś przechadzając się po warszawskim targowisku Wolumen, moją uwagę przykuły lampki Nixie (Z570M), leżące na jednym ze stanowisk. Widziałem wcześniej konstrukcje zegarów opartych o takie wyświetlacze, jednak sam do tej pory nigdy ich nie `popełniłem`. Nie zastanawiając się zbyt długo, nabyłem cztery takie lampki. W międzyczasie zaopatrzyłem się...
Dziękuję za pomoc. Teraz po Place & Route dostaję następne błędy ale już związane z innymi sygnałami. Muszę to zacząć analizować. To może mi jeszcze doradzisz w innej części mojego projektu, ogólnie składa on się z 3 bloków: - blok odbioru danych (odbiór i zapis do BRAM) (domena 1MHz) - blok wyświetlania, wyjście VGA w możliwych 3 różnych rozdzielczościach,...
Dobrze rozumiesz. BF422 działa dobrze. Rezystorki zostaw, narazie. Ten BF 423 napewno ma kolektor w strone lampy, a emiter do zasilania z aprzetwornicy?? Pokarz zdjecie płytki z wlutownaym tranzystorem. Te tranzystory (BC423 i MPSA92) różnią sie tym, że mają na odwrut nóżki 2 i 3. Robiłem też zegarek na BC423, i napięcie z dzielnika jest napewno dobrze....
Witam! oj ma rację. Już chyba nic prostszego nie da się zrobić w dzisiejszych czasach: uPC + zespół wyświetlaczy, podpiętych wprost do niego ze sterowaniem sekwencyjnym - to obecnie już standard, jeśli chodzi o zegary (mnóstwo tego w necie). Oczywiście jak ktoś się zaprze to nadal może wykonać taki prosty zegarek metodą tradycyjną, czyli na układach...
Też mam taki zegarek ale w takiej "zwykłej" białej obudowie, ale na cd4060, cd4518 troche się późni ale zrobię dzielnik 50hz na 2 cd4017 i może będzie troche dokładniej (bo chwilowo kwarc mam do dupy) Obudowa ładna ale przydałoby się żeby diody były oddzielone, znaczy się odstępy między diodami od godzin minut i sekund bo ja nie mogłem tego odczytać...
Poza tym wystarczy trochę pomyśleć. Skoro masz np zegar 1 Mhz, tzn że bez preskalera (preskaler = 1) dostajesz sygnał co µs. Mając preskaler np 100 dostaniesz sygnał co 100µs. Preskaler to nic innego jak dzielnik częstotliwości a obliczyć okres znając częstotliwość chyba potrafisz ?
Jeszcze jedna kwestia- transformator. Czy mógłbym zastosować dwa oddzielne transformatory zamiast jednego z odczepem? Możesz nawet trzy, byle odpowiednio mądrze połączyć uzwojenia. Proszę o rozjaśnienie mi troche tej zagwostki bo nie do końca rozumiem dlaczego jeden i drugi odczep (tak to sie nazywa w tym wypadku?) są ze sobą połączone? Tam masz dwa...
Chyba kolega nie zaglądał do linku który zamieściłem jeden post wyżej. Zaglądałem do tego linku oraz do sprzed ponad dwóch lat, gdzie napisano: Gotowe rozwiązanie: Biorąc pod uwagę specyfikację techniczną tego falownika i deklarowany zakres generowanych częstotliwości: 60Hz +/-0,3Hz to jeśli dobrze liczę zegarek może się spieszyć lub spóźniać maksymalnie...
Najprościej będzie to zrealizować na trzech przerzutnikach. Np. na przerzutnikach JK -> na wszystkie wejścia informacyjna J i K podajemy '1' . Na wejście zegarowe C pierwszego przerzutnika podajemy sygnał który będziemy "dzielić". Wyjścia Q łączymy z wejściem zegarowym kolejnego licznika. Na wyjściach Q wszystkich 3 przerzutników uzyskamy odpowiednio...
Wprawdzie jeszcze nie słyszałem o tym że nasz system energetyczny ma stabilizowaną częstotliwość, ale być może że już osiągnęliśmy ten etap rozwoju... Ostatecznie jesteśmy w Unii a tam to działa. Do niedawna jeszcze zegarki pędzone silniczkiem synchronicznym (albo elektroniczne synchronizowane siecią) wykazywały duży błąd na minus, praktycznie częstotliwość...
Jedyne co mi przychodzi na biegu do głowy, to: kwarc zegarkowy (32.768kHz) --> 4060 (pin 3) --> 4013 (w konfig. jednego przerzutnika T) --> 1Hz.
Witam!! Dostałem w szkole zadanie domowe: Zaprojektuj licznik do zegarka elektronicznego dwunastogodzinnego (A-12). Dla ułatwienia przyjmujemy że będzie pokazywał godziny od 0-11. Impulsy CLK będą wytwarzane w generatorze kwarcowym i posiadają f=32768. Częstotliwość ta należy podzielić przez 32768 aby otrzymać częstotliwość 1Hz. Dzielnik ten wykonać...
Asynchroniczny modulo 4 to 2 JK, oba pracujące jako dwójki liczące tj J i K podać "1". Wyjście Q pierwszego przerzutnika należy podać na wejście zegarowe drugiego i już mamy modulo 4 a wejściem licznika (zliczającym) jest wejście zegarowe przerzutnika pierwszego. Oba przerzutniki muszą być wyzwalane zboczem !!!
Zgodnie z tym co modzi kazali, założyłem osobny temat do mojego problemu, zatem po kolei :P ...od niedawna mam w planach budowę zegarka na scalakach (tak, bez mikrokontrolera bo koszt zakupu/budowy programatora przewyzszy koszt scalaczków :P ). Sprawdzajac jednego (konkretnie 7490, licznik dekadowy) zrobiłem połączenie tak jak tutaj schemat, sorka za...
Dziękuje ci bardzo, to co napisałeś jest dla mnie jasne. Niestety nadal nie bardzo rozumiem dlaczego ten schemat z ksiazki jest dobry. Wiem, że po 4 impulsie zegarowym, czyli sygnał podzielony na 8 zostanie przesłany do drugiego licznika (50 /8=6.25 bo 2^3=8), a po 5 impulsie zegarowym wartość pierwszego licznika zostanie skasowana. [url=]Zamieszczam...
Firma Integrated Device Technology dodała do swojej rodziny programowalnych generatorów zegarowych VersaClock 5 nowy układ 5P49V5901, z czterema uniwersalnymi parami wyjść o możliwości uzyskania częstotliwości do 350 MHz. Układ składa się z czterech różnicowych lub ośmiu jednowyjściowych generatorów zegarowych i może zapamiętać do czterech różnych...
Chciałbym przedstawić konstrukcję, która nie wnosi do świata elektroniki zupełnie nic nowego ponad standardowe połączenie kilku elementów, która powstała dla zabawy i żeby ładnie wyglądała, czyli zegarek binarny. Założeniem była budowa zegarka z widocznymi wszystkimi elementami i zasilanego z portu USB (ot tak). Elementy kluczowe 1. ATMega8A 2. DS1307...
gdzies widziałem jak ktoś rozbierał mechanizmy elektromechanicznych zegarków MADE IN CHINA - moze być z budzika - ma wtedy generator jednej sekundy - wtedy robi licznik potem dekoder i dzielniki częstotliwości /10 to będą sekundy dziesiątkowe potem będzie dzielnik przez 60 i zerowanie przy 60 sekund (nandy na wyjściach licznika)... różne są elementa
Generatorek kwarcowy plus dzielnik innego wyjścia nie ma,no chyba że UPS na 60 Hz.
Aby ten zegarek działał dobrze przy 50Hz należy zmienić podział dzielnika wejściowego z 60 na 50. Zmianę zamieszczam na zmodyfikowanym schemacie. PS. Jeśli pomogłem komuś tym schematem to proszę o ofiarowanie kilku punktów (zbieram aby móc ściągnąć schemat monitora DAYTEK DT1731D, który chcę naprawić)
Hmm troszkę nie rozumiem, ale co podać na wejscia informacyjne skoro mam tylko sygnał zegarowy? Wiem że można przekształcić w prosty sposób przerzutnik D synchronizowany zboczem na ptrzerzutnik T ale przy tych tak się nie da. Pozdrawiam
polącz 4 przerzutniki typu D szeregowo w pierścień, i przesuwaj jedynkę, Twój sygnal prostokątny (który chcesz podzielić) na wejścia zegarowe przerzutników, wyjście to wyjście jednego z przerzutników. Znajdź takie przerzutniki na ponad 140 MHz. Możesz też uzyć gotowego rejestru 4 bitowego.
W mojim zegarze jest to najgorsze ze układ MC1210 nie jest juz dostepny a jeszcze gorsze to ze nie ma zamienników. Moze wiecie jak zozwiazac ten problem?? Przepis na generator 50Hz: Rezonator kwarcowy 3.2786MHz CD4060 dzielnik na 14 CD4013 dzielnik na 4 Połączyć sygnał do rezystora R2
Witam, Witam Serdecznie! Mam taki problem jak w temacie tj. zaprojektować dzielnik częstotliwości przez 3/2. Układ dam radę zrealizować problem w tym, że nie mam pojęcia jak rozrysować dla niego przebieg w stosunku do sygnału zegarowego tak żeby taktycznie dzielił częstotliwość na 3/2 Proszę o pomoc z tymi przebiegami taaaa... a znasz cyfrowy dzielnik...
Witam Mam pewien problem slabo znam sie na elektronice. Chodzi mi glownie o przerobienie wsadu do tego CPLD - mam zrodla i wiem mniejwiecej co i jak. Chodzi o to ze w calej konstrukcji programu - bo wg tego ja widze jako schemat w postaci graficznej VHDL: Mam generator z kilkoma dzielnikami na wyjsciach: - 1mhz CLK - 4mhz CLK Chodzi o to zeby jeden...
czyli powinno być: [...] process(reset,enable,clock) begin if reset='1' then sCV <= "0000000000000000"; elsif rising_edge(CLOCK) then if enable='1' then sCV<=sCV + 1; end if; end if; end process; jeżeli licznik ma zliczać zbocza narastające zegara...
Witaj , mogę Ci podpowiedzieć, poczytaj tutaj: możesz użyć tych kostek. wszystkie wejścia CLR kostek 7473 połącz ze sobą użyj układu 7410 do resetu 7473 po zliczeniu 14 impulsów zegarowych wykorzystując wyjścia Q z uładów 7473 i....... ....w końcu całego zadania nie mogę za Ciebie zrobić!
Ja go dostałem działającego ale do przeróbki wyświetlaczy i nawet nie myślę nad softem. Natomiast zamierzam zapuścić oscyloskop i obejrzeć te przebiegi na bazach. Myślę zrobić dzielniki w bazach i jeszcze raz zasilić sprzed 7805, ale to po obejrzeniu przebiegów. Po niedzieli.
Witam, Idealnie i prosto bylo by gdybys mial 3 takie liczniki wtedy mozna by uklad uproscic. 3 liczniki szeregowo w ukladzie licznika 999. Wpisujesz uzupelnienie do wartosci 103 i podajesz sygnal zegarowy, impuls przeniesienia z ostatniej dekady to twoj sygnal wyjsciowy, ktory jednoczenie wpisuje nowy stan poczatkowy (uzupelnieni do 103). Z tego co...
kto mi powie dlaczego to nie dziala? zegar wszystko zlicza, tyle ze nie wiedziec czemu minuta trwa 55-56 sekund. poprzez analize przy uzyciu LEDa doszedlem do wniosku, ze problemem sa zbyt szybkie impulsy z dzielnika czestotliwosci sieciowej na czestotliwosc 1Hz... tylko dlaczego... tutaj schemat interesujacej czesci - gorny licznik ma dostarczone bezposrednio...
Dla wypełnienia 50% czas stanu wysokiego równa się czasowi stanu niskiego. Moja propozycja zastosuj na wejściu zegarowym podwajacz częstotliwości (czyli fclock=20 kHz) a po dzielniku nastawnym daj dwójkę liczącą, która zrobi ci stałe 50% niezależnie od stopnia podziału dzielnika nastawnego Clock -> podwajacz -> dzielnik przez N -> dzielnik przez 2 ->...
Dzięki za podpowiedzi. jeszcze jedno naiwne pytanie odnośnie układu CD4017: jeśli podłącze do jego wejścia zegarowego mój kwarc to czy na wyjściu 11 uzyskam poprostu sygnał podzielony przez 10??
mam parę takich układów (mianowicie MAX3370, on akurat jest zbyt wolny do przesyłania zegara, ale generalnie genialny układ, ponieważ jest dwukierunkowy, ale bez ustawiania kierunku, więc idealnie nadaje się np. do I2C) ale postanowiłem że jednak pójdę w stronę dzielnika napięć i zworki ustawiającej omijanie dzielnika, póki wybrałem rezystory 820k i...
Na pewno musisz mieć tablicę wzorców cyfr (definiowanie dyrektywą DB ...). Musisz zrealizować przerwania co 1 sekundę uaktualniające licznik czasu JS DS JM DM JG DG Co 1 sek: Zwiększasz (JS) o 1 Jeżeli JS>9 to { JS:=0 Zwiększasz (DS) o 1 Jeżeli (DS)>5 to { DS:=0 Zwiększasz (JM) o 1 Jeżeli (JM)>9...