Testowałem tez dzielnik na CD4027 jednakże efekt jest podobny. Pokaż schemat dzielnika. Czy za dzielnikiem jest jakiś układ uśredniający (całkujący) ? Pozdrawiam. ża dzielnikiem są zegary, w zegarach idzie do układu z oznaczeniami (VDO 007 ADS C0311), później pewnie do głównego układu, który steruje obrotomierzem oraz pozostałymi elementami zegarów)....
Niedawno uruchomiłem pierwszy raz procesor z rdzeniem arm AT91SAM7S64 wszystko w zasadzie zadziałało poza inicjacją zewnętrznego zegara. Przeczytałem już kilka razy rozdział "Clock Generator" i dalej nic z tego nie wynika. Procesor pracuje wolno, ale nie aż tak wolno jakby pracował na Slow Clock. Program pisze w CrossStudio for ARM i z tego co się już...
Superszybkie systemy pomiarowe wymagają dużej ilości precyzyjnych sygnałów zegarowych, o możliwie niskim poziomie szumu fazowego. Do stworzenia tego rodzaju systemu potrzebny jest szereg elementów toru zegarowego, które połączone ze sobą mogą być w różnych topologiach. Dobór architektury systemu taktowania ma duży wpływ na działanie systemu. Dobór...
Jeśli będziesz próbował przerobić swój układ na sekwencyjny, to może ułatwi Ci to fragment mojego projektu zegara: process (clk_i, btn_i(3)) variable sek : integer range 0 to 60 :=0; -- sekundy jako zmienna procesowa begin if btn_i(3) ='1' then --reset (wyzerowanie zegara) sek:=0; cyf_1 <= "0000";...
Potrzebuję zmienić dzielnik obrotomierza w zegarach.W załączniku 2 wsady z oryginalnych,które nie działały i 2 działające.1 6cylindrów 2gi 4cyl.
Witam. Piszę program a konkretnie tworzę moduł dzielnika częstotliwości. Zegar 25 Herz...więc nie gra to roli. Mój kod wygląda następująco: entity dzielnik is Port ( Clk : in STD_LOGIC; out1 : inout STD_LOGIC :='0'; out2 :inout STD_LOGIC :='0'; out3 : inout STD_LOGIC :='0'); end dzielnik; architecture Behavioral of...
Czy chodzi Ci o zegar RTC? 00h sekundy zegara 01h sekundy budzika 02h minuty zegara 03h minuty budzika 04h godziny zegara 05h godziny budzika 06h dzień tygodnia 07h dzień miesiąca 08h numer miesiąca 09h ostatnie 2 cyfry roku 0Ah rejestr stanu A (tempo,dzielnik,aktualazaja zegara) 0Bh rejestr stanu B (letni/zimowy, 12h/24h, BIN/BCD, przerwania, aktualizacja...
Witam !!! Po samym kodzie już widzę że pierwszy raz coś piszesz w VHDL-u... Nic Ci nie da jak poprawie ten kod aby nie było multi source... signal div : std_logic_vector (49 downto 0); --dzielnik zegara Taki duży wektor jest nie potrzebny bo 2 ^ 49 daje 562949953421312 a tobie trzeba tylko 2 ^ 26 co daje 67108864. W ten sposób tworzysz niepotrzebny...
Witam, pytanie na forum się pojawiło, ale bez odpowiedzi. Czy ktoś wie jak zrealizować poniższe zadanie, korzystając jedynie z przerzutników typu D? Najlepiej schemat. Zaprojektować dzielnik częstotliwości zegara przez 6. Dodatkowe wejście sterujące x informuje o wypełnieniu sygnału wyjściowego. Jeżeli x=0, ma być "tyle 0 ile 1" (wypełnienie 1/2), a...
Witam wszystkich, mam problem z poniższym zadaniem: Zaprojektować dzielnik częstotliwości zegara przez 6. Dodatkowe wejście sterujące x informuje o wypełnieniu sygnału wyjściowego. Jeżeli x=0, ma być "tyle 0 ile 1" (wypełnienie 1/2), a jeżeli x=1 to ma być "więcej 0" (wypełnienie 1/3). Na wyświetlaczu lub diodzie LED wyświetlić stan wyjścia. Na dodatkowym...
A napewno masz w fusach dobrze ustawione ?? Zadnego dzielnika zegara itd ??
write_variable wyświetla na lcd wartośc zmiennej write_char - wysyła znak na lcd - w tym wypadku - ":" obydwie funkcje zajmują kilka poleceń zmiany stanów na porcie Opóźnienie wprowadzał sposób zliczania czasu - sekundy i minuty zerowały się po osiągnięciu wartości 61. Nie za bardzo rozumiem dlaczego wartość OCR1A ma być mniejsza. Mógłbyś mi to wytłumaczyć...
To nie jest kwestia pamieci tylko innych podzespolow. Przy 110 zmienia sie zegar pci/agp i wszystkie urzadzenia z tym zwiazane dostaja wyzszy zegar co nie wplywa dobrze na ich poprawna prace. Jak chcesz podkrecac to zmien plyte glowna bo ta sie do tego nie nadaje.
Może nie jest to zupełnie to, czego szukasz, ale może Ci co nieco podpowiedzieć ;) library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_arith.all; use IEEE.STD_LOGIC_unsigned.all; entity zegar is port( clk : in std_logic; rst : in std_logic; sekset : in std_logic; minset : in std_logic; godzset : in std_logic; loadset...
Za pomocą CKMODE wybierasz źródło zegara pomiędzy HCLK a CK_ADC. z kolei w CCIPR ustawiasz źródło dla CK_ADC pomiędzy SYSCLK a PLLADC1CLK. Są to dwa multipleksery ustawione kaskadowo. HCLK nie jest tożsamy z SYSCLK, bo jest po drodze jeszcze dzielnik. Tak więc jak ustawisz CKMODE na HCLK to oczywiście CCIPR jest nieistotny, natomiast jak przestawisz...
Dzieki za podpowiedz. Juz wybebeszylem Halla z wentylatorka od PC i kombinuje z iloscia magnesow, a nie jest to latwe. Paliwo chyba tez troche zawyza. Obrotomierz podlaczony pod alternator tez fiksuje bo obroty sa X3 i prawie caly czas wskazowka jest przy koncu skali, slyszalem ze to kwestia przeprogramowania kosci pamieci 93c56 w liczniku pod katem...
A to moja propozycja potrajacza częstotliwości (a właściwie ilości) impulsów (działa od 0 do 500 Hz). Teoretycznie mimo nierównomiernego wysyłania impulsów układ odbiorczy powinien je sobie je uśrednić czasowo. Średnia impulsów wyjściowych w tym samym czasie jest dokładnie 3 razy większa. Na każdy impuls wejściowy na wyjściu pojawia się paczka 3 impulsów...
Witam, mam pewien problem związany z dzieleniem częstotliwości zegara. Zostałem zobowiązany do użycia przerzutników typu d. Wiem jak dzielić częstotliwość na 1/2 oraz 1/3, a także kombinacje tych liczb (np. 3/6, 2/6, 2/4), jednak potrzebna mi częstotliwość to 2/5 oraz 3/5. Czy możliwe jest np. dodanie cyklu zegara, to znaczy wydłużenie pracy z 2/4 do...
Duże wyświetlacze lampowe Nixie, takie jak Z566M, są drogie i na aukcjach osiągają ceny powyżej 50zł/szt. Jednak nie trzeba kupować czterech lamp, by zbudować funkcjonalny i estetyczny zegar – wystarczy zaledwie jedna sztuka! Oto gustowny gadżet ozdobny, dla osób lubiących zegary z poprzedniej epoki, posiadające jednocześnie drobną nutkę nowoczesności...
Jeśli to zegar samochodowy, to te przewody to: - masa, - zasilanie stałe, - zasilanie po stacyjce, - światła pozycyjne. Wystarczy odnaleźć przewód masowy. Wystarczy sprawdzić, który z przewodów jest połączony w minusami kondensatorów. Na resztę przewodów jest podawany plus, więc można je zewrzeć razem i próbować uruchomić zegar. Stałe zasilanie jest...
Jak zaprojektować układ dzielnika częstotliwości dającego na wyjściu 4 przebiegi 1 Hz przesunięte względem siebie o 1/4 okresu zegara. Na wejściu 100 Hz. Czy ktoś ma pomysł jak rozwiązać to zadanie? Z góry dziękuje za odpowiedź.
Rodzina układów LMK04000 stworzona jest do kondycjonowania sygnałów zegarowych, tak aby zapewnić niski szum i zmniejszyć jitter, a także do mnożenia ich częstotliwości w pętli PLL i dystrybucji sygnałów w całym układzie. Jitter, dzięki temu układowi może osiągnąć zaledwie 200fsRMS. Układ wytwarzać może częstotliwość maksymalnie wynoszącą 648MHz. Znormalizowane...
Przecież co minutę można podać impuls z generatora zbudowanego na 555. Stabilne to i proste. Impuls można wzmocnić tranzystorem , który będzie pełnił rolę przekaźnika. Do cewki zegara należy wtedy podłączyć równolegle diodę prostowniczą w celu zapobieżeniu przebiciu tranzystora po wyłączaniu zasilania. Można też zrobić generator kwarcowy z dzielnikami...
No tak wszystko się zgadza ale zapomnieliście jeszcze o baterii która służy do zasilania tego zegara. A więc bateria---generator---dzielnik---silnik-...
ma nawet podwójne znaczenie - jeśli podasz zły kwarc (nie taki jak jest w rzeczywistości, to program wyliczy błędne wartości ubbr i w ogóle transmisja będzie odbywać się z inną (bliżej nieokreśloną :D ) prędkością a to że założyłeś/zdjąłeś zworki do kwarcu to musisz jeszcze to odpowiednio zaprogramować fusebity, żeby procesor się przełączył się na odpowiedni...
Dziękuję :) ps. a co myślicie o takich zegarach (gdzieś w połowie tekstu umieszczone są PDFy)? Zdecydowanie nie podoba mi się multiplexowe sterowanie lamp NIXIE. To co było dobre w przypadku wyświetlaczy LED nie jest dobre w przypadku lamp jarzeniowych. O ile starsze wykonania wyświetlaczy LED z GaAsP (np. krajowy czerwony CQYP95) miały tym większą...
problem jest do rozwiazania przez Pana, niech Pan polaczy neta z wyjscia bufg sprzed tych div-ow do przerzutnika na gorze na wejscie clk i bedzie pan mial podzielony zegar z wejscia IN1 przez 2
to w takim przypadku musisz zrobić genarator z rezonatorem kwarcowym "zegarkowym", np. 2^15=32 768 Hz i podzielić za pomocą układu, np. CMOS 4060 (generator i dzielnik), lub CMOS 4045 z kwarcem 2^21=2 097 152 Hz , plus dalszy dzielnik przez 60, np. na CMOS 4518 (dwa dzielniki programowalne przez 10 i przez 6). Pozdrawiam
Witam! Stworzyłem w VHDL'u prosty licznik i dzielnik częstotliwości. Po zaimplementowaniu w układzie, układ szaleje, tzn. diodki zapalają się w losowej kolejności, niektóre świecą jaśniej bądź ciemniej... Używam płytki Spartan 3E starter kit. Licznik: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL;...
kto mi powie dlaczego to nie dziala? zegar wszystko zlicza, tyle ze nie wiedziec czemu minuta trwa 55-56 sekund. poprzez analize przy uzyciu LEDa doszedlem do wniosku, ze problemem sa zbyt szybkie impulsy z dzielnika czestotliwosci sieciowej na czestotliwosc 1Hz... tylko dlaczego... tutaj schemat interesujacej czesci - gorny licznik ma dostarczone bezposrednio...
Dla wypełnienia 50% czas stanu wysokiego równa się czasowi stanu niskiego. Moja propozycja zastosuj na wejściu zegarowym podwajacz częstotliwości (czyli fclock=20 kHz) a po dzielniku nastawnym daj dwójkę liczącą, która zrobi ci stałe 50% niezależnie od stopnia podziału dzielnika nastawnego Clock -> podwajacz -> dzielnik przez N -> dzielnik przez 2 ->...
Witam wszystkich serdecznie, Po kilku latach pracy z doskoku, raz na kilka miesięcy, wreszcie powstała jako tako ostateczna wersja zegarka, który od dawna miałem w głowie. Na początku pojawił się pomysł zegara, który spełniałby kilka warunków: -wyświetlacz wykonany na lampach nixie, -możliwie mała obudowa, -elektronika zrobiona "na piechotę", -taktowanie...
Zakladam ,ze rozmawiamy o zwyklym "czasomierzu". To zalezy od ukladu zegara a konkretnie od dzielnikow jakie sa w nim zastosowane. Popularne kiedys uklady CEMI MC1206 uzywaly kwarca 2do15-tej potegi, czyli okolo 32kHz. Niektore uklady nie wymagaja w ogole kwarcow i tez dzialaja. Czesc jest nawet sterowana czestotliwoscia sieci, oczywiscie z fatalnym...
W mojim zegarze jest to najgorsze ze układ MC1210 nie jest juz dostepny a jeszcze gorsze to ze nie ma zamienników. Moze wiecie jak zozwiazac ten problem?? Przepis na generator 50Hz: Rezonator kwarcowy 3.2786MHz CD4060 dzielnik na 14 CD4013 dzielnik na 4 Połączyć sygnał do rezystora R2
Witam wszystkich. Zaprojektowałem i uruchomiłem zegarek z wyświetlaczami LED(SA10-21GWA) i układem PCF8583 współpracującym z mikroprocesorem Atmega8 oraz układami PCF8574AP na szynie TWI(i2c). A teraz chciałbym go wam zaprezentować. Na początek podręcznik języka C w formie pliku pdf -> 'C.pdf' i plik -> 'atmega16mmr.pdf' ,oraz obrazki -> 'Atmega8.gif'...
Witam, buduję sobie buggy, które jest już na wykończeniu. Posiada panel z przyciskami i zegary od ścigacza <przestrojone pod silnik samochodowy i prędkość pojazdu. Chcąc upiększyć wygląd chciałbym w zegarach zainstalować niewielki wyświetlacz 7 segmentowy, wyświetlający poszczególny bieg. Wiem że najłatwiej i najtaniej zrobić to na przełącznikach...
Witam, W moim projekcie potrzebuję z 50MHz zegara uzyskać zegar o częstotliwości 3Hz oraz wypełnieniu 90%. Czy poniższy moduł, który napisałem spełnia powyższą rolę? Czy jest on napisany zgodnie z zasadami, gdyż z Verilogiem dopiero startuję. Nie wiem jak go zasymulować w ModelSim Altera Edition :| module dzielnik (CE, clk, reset, Q); parameter...
Nie do końca rozumiem pytanie. +5V_BUF nie jest podawane w żadnym miejscu na przetwornicę. Być może chodzi o potencjał +5V_BUF na diodzie D14. D14 pełni rolę zabezpieczającą (w pewnych granicach) i chroni przetwornik ADC w ATmedze przed zbyt wysokim napięciem na jego wejściu (D14 zaczyna przewodzić gdy potencjał Komp_170V jest większy od ok. 5V). Jeśli...
Stary wysłużony 4046 też sie do tego nadaje jedynie musisz zrobić dzielnik przez 10 w pierwszym przypadku albo przez 100 w drugim przypadku. Ten scalak z 1MHz poradzi sobie. A dla pewności zastosowac prostrze dzielniki przez 16 i 128. Przy tych 100MHz dobry by był jakiś ikład preskalera firmy PLESEEY (chyba coś koło tego).
Walcze z VHDL-em tylko na bazie opisów z netu i przykładowych programów, może dlatego takie efekty, zapewne czas na zakup książki np "Układy programowalne - pierwsze kroki" Jeśli ogranicę to tylko do 2 dzielników a 3 opiszę troche w inny sosób to działają tylko podział jest z kosmosu. Testowałem podawanie wartości binarnej i nawet hex, i klapa, jak...
Witam Nie zakładam nowego tematu bo mam podobny problem. Chcę reanimować zegar dworcowy z silnikiem krokowym. Nie posiada on wskazówki sekundowej, wymaga impulsów co 60s. Sterowanie silniczkiem mam już opracowane, gorzej z generatorem. Nie widzę żadnego problemu, jeśli jest dostępne w miejscu zainstalowania rzeczonego zegara, bez przerwy napięcie z...
Jedyne co mi przychodzi do głowy to połączenie dwuch przerzutników (jeden układ).J,K, SET i CLR łączysz do zasilania. Wejście na zegar pierwszy, Q pierwszego na zegar drugiego. Potrzebujesz jeszcze bramki AND. Wyjścia przerzutników dajesz na bramkę AND. Kiedy pojawią się dwie jedynki na Q0 i Q1 wtedy częstotliwość jest podzielona 6 razy.
Jeżeli zegar w ogóle nie działa (nie zmienia wskazań) to prawdopodobnie (ale i inne przyczyny wchodzą w grę) nie ma podawanego na jego wejście (sekundy) sygnału 1Hz. Ale nie pytaj co dalej, miernik i oscyloskop w rękę i szukaj co nie działa. Określenie zegar CMOS nic nie mówi o zastosowanych układach scalonych (można go wykonać na bardzo wielu licznikach...
Witam wszystkich. Na początku chcę zaznaczyć, że elektronika to dla mnie hobby i sposób na odprężenie oraz nauczenie czegoś nowego, jestem osobą początkującą w tej dziedzine. Pragnę podzielić się moim projektem zegara z HDD, który konstruuję. Projekt nie jest jeszcze skończony w 100%, ale powiedzmy w 90 :) Przepraszam za zdjęcia niskiej jakości, obecnie...
Nie znam się na programowaniu ,ale 20 lat temu zrobiłem zegarek (działa do dziś i budzi mnie do pracy) na układzie zegarkowym LM8560. Potrzebował on właśnie wzorca 50 Hz . Wzorzec ten otrzymałem używając kwarcu 3,2768 MHz. Po zastosowaniu dzielnika wychodziło 100Hz. Następnie dzielnik przez 2 (bo musiały byc dwa symetryczne 50Hz. Potrzebne będzie 10ms...
Preskaler to inaczej dzielnik częstotliwości. Czyli masz generator kwarcowy np. 1 MHz i taki dzielnik częstotliwości ma za zadanie obniżyć tą częstotliwość do 1 Hz. W przypadku układów TTL najczęściej wykorzystuje się w tym celu liczniki. Odnośnie dekodera 7447 i jego wyprowadzeń BI/RBO, RBI, LT poczytaj .
Kiedyś przechadzając się po warszawskim targowisku Wolumen, moją uwagę przykuły lampki Nixie (Z570M), leżące na jednym ze stanowisk. Widziałem wcześniej konstrukcje zegarów opartych o takie wyświetlacze, jednak sam do tej pory nigdy ich nie `popełniłem`. Nie zastanawiając się zbyt długo, nabyłem cztery takie lampki. W międzyczasie zaopatrzyłem się...
Witam Kolegów elektrodowiczów! Chciałbym podzielić się swoim "dzieckiem" - jest to analogowy retro-zegar z woltomierzami, wskazującymi odpowiednio godzinę, cyfrę dziesiątek i jedności minut, a także sekundy. Zegar bazuje na platformie Arduino Nano, za podtrzymywanie czasu odpowiada moduł DS1307. Jako że nie posiadam schematu, pokrótce opiszę działanie...
Hm... pisałem o 10 bramkach (do podziałów przez 7, 15, 31, 63, 127, 255, 511, 1023, 2047), a na twoim schemacie jest ich 14- zbędne są te 3 bramki na górze, i przełącznik masz na 10 pozycji - czyli na podziały od 7 do 4095. Powinno być tak, że kiedy na wszystkich wyjściach połączonych z wybranymi bramkami AND są '1', to podaje się sygnał '1' z wybranej...
Kod: STM32F0DISCOVERY
(at)Marek_Skalski Tymbardziej nie rozumiem, dlaczego po przestawieniu na HSE w CubeMX wskazuje taktowanie szyn APB na 8 MHz a ja muszę takie zmiany wprowadzać. Co do funkcji ustawiającej zegar to jeśli projekt używa SPLa to wcale nie ma pewności, że faktycznie ten zegar masz ustawiony na 8 MHz, bo równie dobrze może być jednak ustawiony na zupełnie...
(w moim teście rezystory 10-130Ω) wynosi 65mA. Nic to nie mówi, bo potrzebny jest schemat połączeń. Jest tylko jeden pin w wiązce przeznaczony do pomiaru paliwa w baku i tak z niego wychodzi od razu 12V. Więc jest to wejście pomiarowe dla zegarów, ale jednoczesnie jest wyjsciem własnie z tego powodu ze daje od razu 12V. Prawdopodobnie jest to...
Witam, dziś postanowiłem przedstawić szerszej publiczności mój ostatni projekt jakim jest zegar LED. Nie będę ściemniać, że jest on wyjątkowy i innowacyjny, ale po raz pierwszy od dłuższego czasu (w moim mniemaniu) udało mi się zrobić coś co działa i nawet nie odraża swoim wyglądem (choć kto wie jak dla innych... :D ). Zegar wykorzystuje cztery matryce...
Cześć. Chciałbym zaprezentować konstrukcję zegara opartego na lampie VFD IV-18. Jest to moja własna konstrukcja, samodzielnie zaprojektowana, chociaż oczywiście w jakiejś części stanowi kompilację wielu stron o zegarach VFD, podam linki, które mnie zainspirowały szczególnie: - oraz: - Założenia projektu zegara IV18_2021 : - zebranie pierwszych doświadczeń...
Jest niezbędny falownik, ale falownik może być jednofazowy, a moc jego może być bardzo mała, w niektórych zegarach można zastosować generator kwarcowy z dzielnikiem który da niskie napięcie o częstotliwości 60Hz tam gdzie jest ono potrzebne - wprost do układu elektronicznego. Czyli wszystko to rozwiązania dla majsterkowiczów, gotowych falowników do...
Wracając do tematu który jest najbardziej realny do wykonania dla mnie bo reszta ludzi :):) niestety pisze ze się da ale nie umieją tego pokazać na schemacie albo nie wiedzią :):):):) Ponawiam swoją malutką prośbę o schemacik na 5 sztuk tych lampek :) Dodano po 1 Prośba do Pana Citizen75 😇😇 Może nie tyle nie umieją czy nie chcą pokazać tylko trzeba...
POsiadam płytę MSI 815ept (6337) i procesor Celero 800 (100Mhz) gdy ustawię go na 133 Mhz to wówczas ekran robi się w widowsie czarny, agdy ustawię na 124 Mhz to komputer się uruchamia ale częstotliwości szyny PCI iAGP są podwyższone.Wbiosie jest funkcja blokowania zegara DIMM i PCI ele to nic nie daje.Płyta przy 133 Mhz powinna zmienić dzielniki częstotliwości...
A dlaczego wszyscy stosujecie taki kwarc do zegara? Polecam taki: 7,86432MHz Mozan to kupić i nadaje sie swietnie z prostej przyczyny: procesor ma dzielnik przez 12 i tajmer 16 bitowy. Na takim kwarcu bez żadnej korekcji można uzyskać przerwanie co 0,1 sekundy bez wpisywania czegokolwiek do rejestrów tajmera (korygowania). Wystarczy uruchomić tajmer...
Generator zegara wytwarza cos zbliżonego do sinusa, a dzielnik 74HC4040 liczy strome zbocza. Po drodze trzeba wstawić bramkę Schmitta np. 74HC14.
Zegar daje taki slaby sygnał do sterownika i to mu wystarcza, bo tam dopiero wysterowuje właczanie odpowiednio pomki, silniczka, czy swiecy. Aby coś wiekszego załaczać tym słabym sygnalem 12 V, musisz go wmocnic jakimś prostym tranzystorkiem podając to napiecie przez opornik do dzielnika napiecia na bazę, a w obwodzie kolektor - emiter podpiąć cewkę...
Witam W trybie sprzetowym moge sobie podzielic sygnal zegara za pomoca polecen np CLOCKRATE (Bascom) - 4MHz / clockrate=16 i mam 250kHz. Czy mozna to samo zrobic dla trybu programowego ? Czy zegar w trybie programowym dziala bez dzielnika? Jak zrobic zeby w trybie programowym taktowanie bylo np 250kHz ?
Jest to zegar dla gołebiarzy. Mechanizmem zegarowym steruje scalak z napisami 1171B i poniżej 8024. Jest to jakiś generator z dzielnikiem częstotliwości. Patrzałem oscyloskopem na kwarcu przebiegi są a na wyjściu (na cewce) nie ma. Jaki to może być scalak. Czym go zastąpić? Podam kilka danych ,które pomogą go zidentyfikować: - jest 8 pinowy -1 to +...
Wewnętrzny oscylator włącza się za pomocą fuse bitów, a te w trakcie wykonywania programu można jedynie odczytać - zapis jest możliwy tylko w trybie programowania zewnętrznego. Ustawiając odpowiednio XDIV możesz podzielić zegar 8MHz do 62,5kHz - chyba wystarczy? Pobór prądu się zmniejszy, bo "szybki" zegar jest doprowadzany tylko do dzielnika, a pozostałe...
Mam dwa pytania jeszcze;) Jak na schemacie ustawić godzinę początkową?? Bo nie wiem, bo wydaje mi się że trzeba będzie zegar włączyć o 00:00 w nocy żeby zaczął poprawnie pokazywać godzinę, a po każdej przerwie w dostawie energii znowu czekać do 00:00?? I drugie pytanko, polecacie jakiś schemat dobrego i równego generatora 1Hz?? Wiem, obok schematu pisze...
Witam, to nie takie proste jak w temacie ale o to ogólnie chodzi:) Chodzi mi o dzielnik napięcia który będzie mi ściemniał jedną żarówkę automatycznie rozjaśniając drugą. Wszystko jak na razie wydaje się banalne ale to wszystko ma być sterowane napięciem. Może bardziej obrazowo będzie to wyglądać ja powiem do czego mi to jest potrzebne:) Mianowicie...
Na bramkach TTL to by się musiał składać z np: UCY 7400 a zbudowanie liczników i dzielników z 7400 zajęłoby chyba ze dwa biurka. Tak że tytuł powinien brzmieć "zegar na układach TTL" nie na bramkach.
Witam! Chciałbym dziś pokazać urządzenie, które powoli i z bólami tworzyłem ostatnimi czasy. Inspiracją do ruszenia z pracami były zbliżające się urodziny narzeczonej, której chciałem podarować coś nietypowego, a jednocześnie praktycznego. A że nie może być chyba nic bardziej praktycznego i przydatnego każdego dnia niż zegarek z budzikiem to wybór...
ok. Czy mogę zaprojektować generator na ne555, a potem zapuścić wzmacniacz do zasilenia? 555 nie za bardzo się sprawdzi - do testów będzie OK, ale stabilność częstotliwości będzie za mała. Raczej generator na kwarcu + dzielnik.
drzasiek, podejrzewam że są tam dość skuteczne filtry a (tak myślę, bo nie bawiłem się takimi zegarami) sygnał 50Hz jest przepuszczany przez detektor przejścia przez 0, a następnie na dzielnik przez 2 (dostajemy czyste 50Hz kwadrat), a następnie już dzielnik przez f/50 i do liczników.
A pomijając to dekodowanie mógłbyś rzucić okiem na resztę kodu? Nie. Właśnie to jest klucz do rozwiązania Twojego problemu, należy zacząć od tego. Jest to akademicki przykład. 4x8=32 bity , 4-bitowy wektor, to liczba 0-9 binarnie (0000-0, ..., 1001 - 9) , 8 to łatwo się domyślić (HH:MM:SS:MS) . Potem case (bo pewnie multipleksowanie), wrzucasz zegar...
To zależy jaka konkretnie platforma. Wszystko rozbija się o zastosowane mnożniki, dzielniki i częstotliwości bazowe. Sam zegar CPU pośrednio odpowiada za taktowanie np.: pamięci, ponieważ jedo zegar także ustawiany jest na podstawie jakiejś częstotliwości bazowej (np.: FSB, HTT) mnożonej przez mnożnik procesora. Ta sama częst. odpowiada za taktowanie...
Schemat ideowy i fotki może kolega sobie zobaczyć i ew. ściągnąć z linku: Złącza G1 i G2 to nic innego jak wyjścia interfejsu równoległego (poziom TTL, kod BCD) do współpracy z urządzeniami takimi jak np. drukarka, zewnętrzne repetytory itp. Wyprowadzono tam także sygnały z generatora/ dzielnika częstotliwości - zegar mógł służyć również jako wzorzec.
Rejestrator temperatury. Zbudowany dość dawno temu (nie pamiętam dokładnie kiedy). Zrobiłem go bo zawsze chciałem mieć coś takiego. Każdego dnia tworzony jest nowy plik w którym zapisywane są temperatury z czujnika. Aby nie bawić się w przyciski (chciałem wszystko zmieścić pod wyświetlaczem) postanowiłem że cała konfiguracja będzie odczytywana z pliku...
Chyba czegoś jeszcze nie rozumiem. D23 "odprowadza" do dodatniego potencjału wyjście z dzielnika, normalnie powinno być tam około 2,4V. ale zakładając że pojawi się więcej niż 5 (np. uszkodzi się rezystor z dzielnika) to i tak pójdzie to na pin procesora? Oraz czy "odprowadzenie" nie powinno być przed stabilizatorem liniowym? tzn jak by poszło większe...
mam nadzieje, ze wiesz jakiej czestotliwosci zegar jest na plytce, i w jakim jezyku masz to napisac; A. -deklarujesz odpowiednio duzy rejestr i dzielisz wejsciowy zegar tak, by dzielnik dawal impuls co sekunde; - zliczasz sekundowe impulsy licznikiem modulo 60, przejscie miedzy 59 a 0 to impuls minutowy - zliczasz impulsy minutowe licznikiem modulo...
Tiny_Nixie Zegar inny niż wszystkie Chciałem zaprezentować konstrukcję, której realizacja trwała około 10 lat ;), bo mniej więcej tyle minęło odkąd zakupiłem cztery Lampy LC-531 na krakowskiej giełdzie elektronicznej. W tym samym czasie też wpadły mi w ręce pierwsze AVR-y z niektórymi z nich jeszcze przez długie lata za sprawą nieznajomości asemblera...
Podziel zegar, bo przy 100Hz/2 będzie mało czytelnie. Na przykład dzielnikiem przez 10.
Pamiętam sprzed prawie 40 lat zegary cyfrowe (wtedy to kosztowało miesięczną pensję słabiej zarabiających), które nie miały żadnych przekaźników, wyświetlaczy... tylko generator z kwarcem i dzielnik częstotliwości (nie wiem, czy już wtedy były CD4060, które robiły 2Hz używając kwarcu 32768Hz), plus elektromagnesik napędzający układ mechaniczny (jakieś...
Uszkodzony może być sam układ scalony.Jest on zamontowany pod wyświetlaczem. Generator traci oscylacje, któryś dzielnik nie dzieli To raczej nie możliwe były by opisane stany : Mam następujący problem zegar gubi czas nieraz zgubi 15 minut w ciągu 8 godzin a nieraz godzinę albo dwie. Czasami kilka dni nie zgubi nawet 1 minuty. Ze względu na sposób montażu...
Nie wydaje mi się bo zegary auta są z 2009r i jakiekolwiek grzebanie nie wchodzi w grę, dzielnik przez 2 działa doskonale, ale pokazuje za mało dlatego wolałbym zrobić przez 1,5.
Ok, mam już płytkę przed nosem. Niestety usunięcie kodu z konfiguracją MSI nie pomogło (bo w sumie dlaczego by miało?), dodanie wstawek asemblerowych również. Obecny kod: Zegary: [syntax=c]void SystemClockConfig(void) { RCC->AHB1ENR |= RCC_AHB1ENR_FLASHEN; FLASH->ACR |= FLASH_ACR_LATENCY_4WS; /* configure PLL */ RCC->PLLCFGR = RCC_PLLCFGR_PLLREN...
Na 99% ta linijka wcale nie robi tego co napisałeś w komentarzu, tylko wręcz odwrotnie. Robi to co napisałem, bo cały program testuje na debuggerze Jeśli mógłbyś podpowiedzieć jak inaczej skasować bit to bardzo bym prosił o pomoc również w tej kwestii Wiem, że powinienem odczekiwać, ale program uruchamiam krok po kroku i za każdym razem czekam aż flagi...
A co to za zasilacz ten nowy? Jak widac - ,,ładowarka" do czegoś, więc po chińsku - transformator + jedna dioda .Ten układ prawdopodobnie ,,wyprodukował" pewnie z 8 VDC, z jednopołówkowego prostowania, które odłożyło się na C1. W dodatku brak w układzie zegara jakiegokolwiek stabilizatora. Efekt, jak widać. Wyświetlacze raczej się nie uszkodziły, ale...
Witam, Zasada działania jest typowa i prosta: - licznik 0-9/0-5 dla minut czyli sumarycznie 0-59 - licznik 0-2/0-9 dla godzin z wykryciem stanu 12 lub 24 zależnie od systemu w jakim zegar ma pracować. - dekoder do każdego licznika do sterowania lampami nixie - zegar 1 Hz, np. kwarc zegarkowy 32 kHz i dzielnik Gotowe. Czy zrealizujesz to na 7490/92...
W latach 70-tych w Radioelektroniku były takie opisy. Ale to nie jest trudna sprawa. Należy zrobić genetator 1Hz (oczywiście kwarcowy). Były w sprzedaży kwarce 1MHz. Generator na dwóch bramkach z układu 7404. Potem podział przez 6 liczników 7490 i masz 1Hz. Potem licznik 7490 liczący do 10 (jednostki sekund), następnie 7490 liczący do 6 (dziesiątki...
:) z tym DCF-em to juz sobie daruję, a pytanie mi się nasuneło, bo nie byłem pewnien jak pracuje Timer. Czy ma swoje własne dzielniki itp. czy jest to programowo robione, a przez to jaki wpływ na liczony czas ma reszta programu. Poczytałem, znalazłem, no i nie ma obawy. zależy tylko jak dobry kwarc wsadzę. Chodzą mi po glowie różne bajerki, np. o pełnej...
Z tym generatorem 36kHz to proponuje zrobic generator 36kHz na układzie CMOS 4047 sterujący diodami nadawczymi IR, a przebieg modulujący podawac na wejscie zezwalający na generację - zrobić kluczowanie tego przebiegu. Czyli tak (wersja jedna z możliwych wielu) - PC nadajnik MAX232>>TX na kluczowanie generatorka np na 4047>>do wzmacniacza nadajnika...
MC1210 nie nadaje się bo ma na wyjściu 0,5Hz a nie 1Hz, pozatym jest trudno dostępny i dość drogi. Można zrobić na CD4060 + dzielnik przez 2 a można na CD4521... Schemat za chwilę...
Witam ponownie Ten czujnik temperatury jest czescia wiekszego projektu. Urzadzenie oparte na Atmega 8535 ma za zadanie zmierzyc i wyswietlic na czterocyfrowym wyswietlaczu LED cisnienie powietrza atmosferycznego, wilgotnosci tego powietrza i jego temperature. Do tego odmierza i wyswietla aktualny czas z funkcja budzika. Czujniki podlaczone sa do trzech...
jak podzielic czestotliwosc 2 hz aby uzyskac 1 hz czyli 1 sekunde. chce sobie zrobic zegar na ukaładnie ktory na jednym pinie daje 2 hz a chciałbym zeby jedna dioda migała co 1 s.wiem ze na uC by to było lepiej ale ale narazie próbuje z analogowa elektronika bo nie moge jej jakos zrozumiec dlatego ostatnio czeste pytania z mojej strony. Pozdrawiam
jeśli chodzi o prędkościomież to stała K=1000 jest standartem w licznikach na linkę, oznacza 1000 obrotów linki na przejechany 1km, natomiast przekładnia napedzająca linke dobierana jest do przekładni głównej(układ róznicowy) i średnicy kół, tak więc spokojnie każdy licznik o stałej K=1000 będzie pasował i dobrze wskazywał, nie ważne do jakiego będzie...
Mam kolejny problem. Zbudowałem ten układ według danych zawartych w katalogu. Znalazłem tam schemat układu, oraz schemat jak dołączyć kondensator i rezystory. Włączyłem do obwodu rezystory 100k i 220k. Kondensator 100nF. Według obliczeń powinienem uzyskać częstotliwość 45 Hz. Chciałem sprawdzić czy sygnał zegara będzie generowany, więc do któregoś z...
Najprosciej dzielnikiem napiecia:
(at) autor tematu ile byś chciał za zaprogramowanego scalaka + to "srebrne coś" ?? Z zaprogramowaniem dasz sobie radę, potrzebujesz kilku przewodów 4 rezystorów i wtyku db25(LPT), zasysasz sobie program BASCOM np z tego linka . a jeśli chodzi o to srebrne coś (czyt generator kwarcowy):) możesz swobodnie zastąpić zwykłym rezonarorem kwarcowym 16MHz...
Witaj Karol Jak narazie tylko Ty mądrze odpowiadasz na moje pytanie!!! Mam prośbę żebyś jednak podrzucił schemat ideowy takiego dzielnika. Na cyfrówce nie zabardzo kumam. Pozdrawiam Rokar1
Witam chciałem sie podzielić znalezionym w necie projektem zegara na lampach nixie, którego można zbudować w oparciu o dowolne lampy nixie.Cała konstrukcja jest niezbyt skomplikowana ale za to bardzo uniwersalna.Wszystkie elementy są ogólnie dostępne i tanie przy czym nie trzeba posiadać programatora żeby zegar działał. Sercem układu jest scalak 4060...
W symulatorze na razie... pod reala dopiero wczoraj skonczylem rzezbic plytke. Jutro skocze na xero, a w weekend (jak zjade z akademikow do domu) wezme sie za lutowanie. Uwierz mi ze kondensatory nie maja wplywu na dokladnosc ;-) Ich wartosci musza jedynie zawierac sie w granicach z noty katalogowej danego proca, zeby zewnetrzny kwarc zaczal oscylowac...
Możesz zmierzyć napięcie na pinie 5? tylko po co? Skoro ma za niskie napięcie na wyjściu, to i tam będzie poniżej wartości napięcia odniesienia, którego wartość wynosi 1,250 V Nie sądzę, iż by miał źle dobrany dzielnik sprzężenia zwrotnego.
Witam, potrzebuje wysterowac silnik krokowy zegara wtornego. Zasilane silnika : 24 V , impulsy co minute ze zmienna polaryzacją. Chcialbym wykorzystac ten schemat : dodatkowo na wysjciu ukladu ze schematu powyzej chcialem dolozyc jeszcze jeden dzielnik na 4013 (2ga polowa ukaldu) aby uzyskac jeden cykl na 2 minuty. Pozostaje kwestia wysterowania silnika....
Jak sprzętowo podłączona jest bateria do podtrzymania zasilania uP. W jaki sposób odbywa się detekcja zasilania bateryjnego?? ja stosuję układy Battery Backup np. TPS3619, chociaż można to zrobić na dwóch MOSFETach i rezystorach. Detekcja przez dzielnik napięcia wprowadzony na I/O procka MSP. Jako ze procek ma na wejściu Schmitta, otrzymuję sygnał...