REKLAMA

elektrody kodowanie kluczyka

Znaleziono około 176 wyników dla: elektrody kodowanie kluczyka
  • Honda - kluczyk serwisowy - Dopisanie, kodowanie drugiego kluczyka, honda CRV.

    Witam. Ponieważ czas naglił znalazłem inne, proste rozwiązanie mojego problemu z kluczykami. Postanowiłem zamienić transpondery w kluczykach. Naciąłem ostrym nożykiem plastiki kluczyków po obwodzie znaczka hondy z 3 stron. W środku znalazłem plastikową wkładkę z transponderem. Wyjąć ją da się tylko w jedną stronę ponieważ posiada kołnierz. Pierwszy...

    Samochody Początkujący   21 Lis 2021 11:40 Odpowiedzi: 4    Wyświetleń: 2934
  • REKLAMA
  • Audi A6 c51.9 Tdi AFN - Kodowanie pilotów, kluczyków, błędy centralnego zamka

    Witam wszystkich. Na tym dziale jestem po raz pierwszy, proszę o przeniesienie tematu jeśli nie trafiłem. Moim problemem jest kodowanie kluczyków do Audi A6 1.9Tdi AFN. Trochę czytałem o tym jak to wykonać ale wydaje mi się że mam inny problem i nie mogę zaprogramować kluczyków. Problem z otwieraniem drzwi z kluczyka mam od początku jak go kupiłem....

    Samochody Początkujący   22 Cze 2017 17:44 Odpowiedzi: 0    Wyświetleń: 2247
  • Błąd podczas kodowania nowego kluczyka VAG - Gdzie leży problem?

    Nie ma takiej możliwości by pilot obsługiwał obie częstotliwości naraz. Daj fotkę starego pilota albo odczytaj nr modułu komfortu to ustalimy z jaką czestotliwoscia powinieneś mieć pilot. Numer modułu będę mógł podać za godzinę po robocie, a co do starego klucza na obudowie pisze 433 MHz natomiast w środku pod baterią już 434 MHz więc chyba najrozsądniej...

    Samochody Początkujący   14 Lis 2021 07:20 Odpowiedzi: 6    Wyświetleń: 1239
  • Niedziałający kluczyk do seata toledo 2001r-pytanie o elektronike.

    Witam, mam takie pytanie ponieważ mam kluczyk od seata toledo 2001r który prawdopodobnie nie działa albo jest niezakodowany ponieważ nie otwiera.Widziałem na forum jest opisane że trzeba zakodować pilot ale moje pytanie brzmi czy gdy pilot jest sprawny ale niezakodowany to miga dioda po wciśnięciu jakiegoś guzika?Bo nie wiem czy się męczyć kodowaniem...

    Samochody Elektryka i elektronika   16 Wrz 2011 19:10 Odpowiedzi: 4    Wyświetleń: 6779
  • REKLAMA
  • mercedes w202 c180 97r - rozprogramowywuje się kluczyk rybka

    Sorry, a Ty nie masz czasem radiowymi jak w w203 ? Musisz kluczykiem celować w auto aby otworzyć czy radio ? Jeżeli radio to Komunikacja radiowa, optycznie to tylko zamykanie lub otwieranie szyb. Dzisiaj rano przestała migać dioda led potwierdzająca naciśnięcie przycisków w kluczyku, ale kluczyk działa nadal.

    Samochody Początkujący   28 Mar 2018 21:40 Odpowiedzi: 20    Wyświetleń: 11289
  • REKLAMA
  • Jak sprawdzić sygnał komunikacji między centralką a kluczykiem kodowanym? Prosty tester

    Masz problem z kluczykiem kodowanym. Nie wiesz czy centralka wysyła info do kluczyka. Istnieje bardzo prosta metoda sprawdzenia obecności sygnału komunikacji miedzy centralką a kluczykiem. Wystarczy zastosować tester który możesz wykonać w 5 min. Pełny schemat tego skomplikowanego testera jest w pliku do pobrania \\\.../// Film demonstruje pracę testera...

    Samochody Eksploatacja   15 Lut 2021 11:38 Odpowiedzi: 0    Wyświetleń: 756
  • Audi A6 C6 2010 - Kodowanie kluczyka

    Witam, Kupiłem używany kluczyk, identyczny jak mój, i potrzebuję go wkodować. Z tego co się orientuję, w tych kluczykach jest jednorazowy transponder? Kod kluczyka 4f0837220r, kluczyk 868Mhz. Transponder podobno ID8E. Załączam foto płytki z kluczyka. Teraz pytanie czy da sie tu wymienic/przekodowac transponder?

    Samochody Elektryka i elektronika   23 Paź 2015 17:16 Odpowiedzi: 12    Wyświetleń: 16923
  • Audi A6 C5 97r - Kodowanie kluczyka-interfejs

    Ręcznie tego nie zrobię ponieważ poprzedni właściciel dał mi tylko jeden pilot z kluczem (ten po prawej) oraz jakiś pilocik dosztukowany (ten po lewej) zaś klucz z immobilizerem (ten po lewej) dorobiłem sobie sam i wraz z tym dosztukowanym pilocikiem będą służyć mi jako zapasowe. Dzięki za pomoc

    Samochody Elektryka i elektronika   28 Lut 2016 12:24 Odpowiedzi: 7    Wyświetleń: 8532
  • Elektronika kluczyka IR do megane 1996r

    Witam kolegów. Mam 3 oryginalne kluczyki do meganki z 1996roku. Niestety 1 już nie działa, drugi już ostatkiem sił, ale na szczęście trzeci jeszcze działa. Nie szukam opisu jak kodować kluczyki bo to wiem, sam umieszczałem też na elektrodzie opis, wiem też, że kluczyka od innej maganki czy renaula nie przyuczę do swojej. Moje pytanie brzmi czy gdybym...

    Samochody Elektryka i elektronika   29 Gru 2011 07:12 Odpowiedzi: 10    Wyświetleń: 2338
  • [Sprzedam] Oryginalne kluczyki/piloty do samochodów marki FORD, AUDI

    Witam, Oferuje szeroki wybór oryginalnych kluczy/ pilotów. Klucze Charakteryzują się wysoką sprawnością- każdy z przycisków działa bez zastrzeżeń. Piloty były testowane specjalnym testerem. Są one w 100% sprawne. Na wszystkie kluczyki udzielam 14- dniowej gwarancji rozruchowej. Poniżej specyfikacja każdego kluczyka. 1. Cena: 89 zł/ szt W zestawie znajduje...

    Samochody Bazar   07 Sty 2016 19:34 Odpowiedzi: 0    Wyświetleń: 12024
  • Seat Ibiza 2000r Programowanie orginalnego kluczyka

    Witam. 16 maja 2009 na Elektrodzie jest tematSeat Cordoba 1,9TDI kodowanie kluczyka. Jest tam plik Kluczyki seat. Znajdziecie tam dużo przydatnych informacji do kilku modeli Seata nie tylko na temat kluczyków Pozdrawiam

    Samochody Elektryka i elektronika   05 Mar 2020 11:49 Odpowiedzi: 17    Wyświetleń: 72165
  • kodowanie pilota micra k12

    witam posiadam nissana micre k12 z 2004r z systemem NATS bodajże czyli zapalanie bez kluczyka. posiadam jeden pilot do tego auta i boję się, że go zgubie. zakupiłem ostatnio drrugiego pilota z innej micry rozbitej i moje pytanie brzmi czy mogę ten pilot dopasaować do mojej micry? czy bez serwisu się nie obędzie :| proszę o pomoc pozdrawiam Waldek 664776547

    Samochody Początkujący   04 Mar 2010 17:36 Odpowiedzi: 5    Wyświetleń: 12432
  • Sharan VW 7MO 962 257 G kodowanie pilota i oświetlenie wnętrza

    Witam! zakupiłem Sharana 1996 CARAT 2.8 VR6. Mam pytanie odnośnie sterowania pilotem IR. Nie mogę go zakodować wg instrukcji z Elektrody. moj moduł ma numer 7 MO 962 257 G . Kluczyk taki jak widać na aukcji g104 582c iw. Moduł komfortu był w worku:P urwany jeden kabel od tylnej klapy. dolutowałem i zaczeła klamka otwierać. Dodatkowo otwarcie drzwi kierowcy...

    Samochody Początkujący   26 Wrz 2013 20:56 Odpowiedzi: 4    Wyświetleń: 3036
  • REKLAMA
  • Radio samochodowe VW Beta 5 koduje się po wyłączeniu zapłonu

    O.k to by wykluczało potencjalne uszkodzenie pamięci procesora i nietrzymanie pamięci. A po odłączeniu zasilania radio pyta o kod za każdym razem? Może lepiej sprawdzić dwa razy, niż coś przegapić. Weź może próbnik z żarówką i zobacz czy na pinie 7 masz faktycznie 12V stałe z akumulatora i nie znika ono po wyjęciu kluczyka.

    Początkujący Naprawy   13 Maj 2022 23:01 Odpowiedzi: 8    Wyświetleń: 576
  • Kodowanie nowego pilota do starej uniwersalnej centralki centralnego zamka

    Witam Mam taki problem - w samochodzie założony jest uniwersalny centralny zamek z pilota - auto jest stare i nie wiem kiedy centralka zostało dorzucona. Auto miało oryginalny centralny z kluczyka. Piloty padły i moje pytanie czy można dokodować piloty z innego uniwersalnego centralnego. Kupiłem taki z ładnymi pilotami sądząc, że uda się przełożyć centralkę...

    Samochody Zabezpieczenia   03 Sie 2023 18:49 Odpowiedzi: 14    Wyświetleń: 441
  • Audi A3 8P kodowanie kluczyka - immobilizer id48 tp25 kodowanie kluczyka

    Witam kolegów. Mam problem z zakodowaniem kluczyka w audi a3 8p 2007r. Zakupiłem używkę kluczyk o tym samym nr co stary oraz nowy czysty prekodowany TP25 transponder z alle. Podjechałem do dorabiacza w celu zakodowania kluczyka (nie znałem kodu PIN). Nie udało się mu swoim fejsem, Super VAG, wyjąć kodu PIN z licznika (po chwili wyskakiwał jakiś błąd),...

    Samochody Elektryka i elektronika   31 Maj 2017 08:55 Odpowiedzi: 11    Wyświetleń: 23613
  • Scirocco III- po kodowaniu VCDS nie działają podst. elementy wyp.elektr.

    Cześć wszystkim. Potrzebuję pilnie pomocy. Kupiłem VCDS 17.3.1 oraz 17.8.1 w polskiej wersji. Zacząłem zabawę od zakodowania dodatkowych funkcji w moim Scirocco typu doświetlanie zakrętów przez św. przeciwmgielne, proces powitania itp. Przed kodowaniem popełniłem kardynalny błąd ponieważ nie zanotowałem kodowania wyjściowego. Nie wiem co źle zrobiłem,...

    Samochody Elektryka i elektronika   17 Lut 2018 12:52 Odpowiedzi: 7    Wyświetleń: 5394
  • SEAT IBIZA 1.9 TDI 2003r. problem z kluczykiem (kodowanie?)

    Witam Wczoraj pojechałem na myjnię samochodową, gdzie umyłem samochód po czym zdalnie otworzyłem samochód i podjechałem na parking, zaznaczam że zamykanie zdalne kluczykiem działało. Po powrocie do domu, chciałem zamknąć samochód, okazało się, że samochód nie chce zamknąć się ze zdalnego sterowania, dopiero przekręcenie kluczykiem w drzwiach kierowcy...

    Samochody Elektryka i elektronika   13 Lip 2010 21:24 Odpowiedzi: 6    Wyświetleń: 7291
  • Audi A6 '03 - kodowanie kluczyka ( immo )

    bloki pomiarowe: błędy. 01315 - sterownik skrzyni biegów, brak komunikacji 01179- bład programowania klucza. licznik jest od automata, kodowanie 00062, jakie powinno być na manualna ? nie widziałem w podpowiedzi kodowania w VCDS. jutro pobawię się z wyciągnięciem pinu z ECU. nie wiem czy VAGdashCAN da rade.

    Samochody Elektryka i elektronika   29 Wrz 2015 08:27 Odpowiedzi: 14    Wyświetleń: 7113
  • FIAT DUCATO 2016 - Czy potrzebne jest wstępne prekodowanie kluczyka przed kodowaniem?

    Witam, Chcę zaprogramować do mojego FIAT DUCATO kluczyk. Na jednej z aukcji internetowych znalazłem taki opis: "Najpierw wstępne prekodowanie na podstawie wsadu BSI a następnie kodowanie (w przeciwnym wypadku pilot klucza nie będzie działał)." Czy faktycznie trzeba jakoś wstępnie "przekodować" nowo kupiony kluczyk? Myślałem że tylko wpisuje się FIAT...

    Samochody Elektryka i elektronika   31 Paź 2022 19:59 Odpowiedzi: 13    Wyświetleń: 2034
  • Seat Cordoba 98 immobiliser kodowanie kluczyka

    Owszem można dopisać samemu kluczyk przy pomocy interfejsu i odpowiedniego oprogramowania. Proponuję kupić interfejs K+L line. Opis, jak przeprowadzić kodowanie kluczyków gdzieś widziałem na elektrodzie więc poszukaj, w grupie VW jest praktycznie jednakowy dla wszyskich aut.

    Samochody Elektryka i elektronika   17 Mar 2006 07:33 Odpowiedzi: 6    Wyświetleń: 13451
  • Kodowanie kluczyków ford escort 98 - migająca LED

    Samochody Zabezpieczenia   20 Cze 2007 23:32 Odpowiedzi: 19    Wyświetleń: 11071
  • kodowanie kluczyka New Beetle

    Samochody Elektryka i elektronika   17 Paź 2008 22:27 Odpowiedzi: 1    Wyświetleń: 13615
  • Kluczyk peugeot 206 kodowanie, problem z centralnym zamkiem

    Witam, posiadam Peugeota 206 99rok, i mam problem z kluczykiem, ponieważ raz działa centralny zamek z pilota a raz nie. Czy jak zakupię taki kluczyk tylko, że używany z innego auta i przełożę immobilaiser i grot to zakoduje się ? Czy będę musiał go jakoś specjalnie zakodować ? Ewentualnie co może być przyczyną, że raz otwiera się z pilota a raz nie...

    Samochody Szukam   19 Gru 2008 08:39 Odpowiedzi: 3    Wyświetleń: 15398
  • Almera 2000, 1.8 - kodowanie kluczyka: co robię źle??

    Witam, chyba mam przejrzaną wszysktie mozliwe odpoweidzi n atemat kodowania pilota do centralnego zamka w Almerze. U mnie to jednak nie dziala, nie ma przede wszystkim odpowiedzi od komputera (migające kierunkowskazy). od razu powiem, że nie działa znaleziona instrukcja na: Czy ktos mi, baaardzo proszę może powiedzieć co jest nie tak?? (bateria w kluczyku...

    Samochody Elektryka i elektronika   11 Sty 2009 11:17 Odpowiedzi: 0    Wyświetleń: 3780
  • Renault Scenic - otwieranie drzwi pilotem w kluczyku

    Wiem, że problem był wałkowany setki razy, ale każdy problem jest inny... Mój jest taki: Scenic 2.0 benzyna rocznik '98, pilot-kluczyk na podczerwień, jeden kluczyk otwiera zamki (mały zasięg, ale jeszcze łapie) i odpala auto, drugi tylko odpala, ale już nie otwiera auta. Problem zniknął po wizycie u zegarmistrza, który wymienił baterie i coś tam poczyścił...

    Samochody Elektryka i elektronika   03 Paź 2009 16:01 Odpowiedzi: 15    Wyświetleń: 21678
  • Audi A8 95r automat - kodowanie kluczyka

    Spróbuj tego.

    Samochody Elektryka i elektronika   17 Wrz 2009 21:43 Odpowiedzi: 4    Wyświetleń: 17135
  • adaptacja używanego kluczyka focus mk1

    ja nie wiem wszyscy straszą tym całym kodowaniem! a ja ci powiem co ja zrobiłem miałem co prawda dwa kluczyki z których jeden złamałem kupiłem więc centralkę ze scyzorykiem dałem grot do doskrobania a ze złamanego kluczyka wyjąłem delikatnie transponder i przełożyłem do mojego scyzoryka w takiej pozycji w jakiej był włożony w oryginalny kluczyk ! i...

    Samochody Elektryka i elektronika   26 Maj 2023 11:13 Odpowiedzi: 6    Wyświetleń: 6080
  • Kodowanie kluczyka Citroen c5

    obejrzyj sobie procedurę synchronizacji kluczyka po wymianie baterii na obrazku, który dołączyłem: 1) włącz zapłon 2) naciśnij przycisk lock (zamykanie) i przytrzymaj przez 10 sekund 3) wyłącz zapłon 4) zaczekaj 3 sekundy i powinno zadziałać

    Samochody Elektryka i elektronika   19 Cze 2017 07:39 Odpowiedzi: 20    Wyświetleń: 59094
  • Kluczyk Renault Scenic 1 kodowanie

    Witam,czy po zakupie używanego kluczyka np. z allegro jest możliwość zakodowania kluczyka według opisu kolegi NofoN z postu .Dodam że mam 1 kluczyk w pełni działający kod też znam.

    Samochody Elektryka i elektronika   03 Lis 2010 06:26 Odpowiedzi: 1    Wyświetleń: 8914
  • vw 433 mhz - Kluczyk upadł i przestał dzialać...

    Witam :) Jestem w posiadaniu VW Passat B5 1998 r. silnik 1.9 TDI (110km) kod silnika AFN, wersja kombi. Mam pytanie odnośnie kluczyka od sterowania zamkiem centralnym. Otóż upadł mi on ostatnio na ziemie i nagle przestał działać :| tyle razy mi upadał i wszystko było ok a tu nagle peszek. Pomyślałem że może to być dziwny zbieg okoliczności i może rozładowała...

    Samochody Zabezpieczenia   28 Lis 2012 17:27 Odpowiedzi: 4    Wyświetleń: 11985
  • MINI ONE 2006 - Niedziałający kluczyk do MINI ONE 2006r.

    Witam, Nie działa kluczyk do MINI ONE 2006. Nr. kluczyka wg. BMW: 66126931748. Prawdopodobnie uszkodziłem płytkę podczas próby otwarcia w celu wymiany baterii. Cena w BMW 650 zł + obowiązkowe kodowanie 350zł. Pytanie: 1) gdzie można kupić taki kluczyk taniej? 2) czy jeśli zakupie używany kluczyk i przełożę płytkę to czy będę mógł go sam zaprogramować?...

    Samochody Początkujący   10 Paź 2014 10:54 Odpowiedzi: 16    Wyświetleń: 26139
  • VW Passat B5 - kodowanie pilota z 1 kluczykiem pytanie

    Samochody Elektryka i elektronika   29 Lis 2015 12:41 Odpowiedzi: 8    Wyświetleń: 10938
  • VW GOLF IV Kodowanie kluczyka - Problem z zakodowaniem kluczyka

    Raczej jest jak piszesz. Tam trzeba szukać scyzoryka z zaokrąglonymi guzikami. Wygląda to tak jakby kształt obu przycisków tworzył kształt jajka. Piloty gdzie 2 narożniki przycisku są zaokrąglone (te stykające się ze sobą nie są zaokrąglone) nie będzie tutaj działał. Pilot ma być z 2 przyciskami.

    Samochody Zabezpieczenia   14 Lut 2017 01:17 Odpowiedzi: 16    Wyświetleń: 42129
  • Vectra C 2004 - Jak zakodować używany kluczyk sztywny, nie scyzoryk?

    A czy orientuje się ktoś jakimi tańszymi interfejsami można zakodować taki kluczyk? Znalazłem już wszystkie kluczyki jakie miałem, nie wiem tylko który to z CIMa a który oryginalny i chciałbym spróbować samemu. Miałem OPCOMA od "bestb" tam była opcja kodowania ale wywalało komunikat że kluczyk jest używany. Szwagier ma z kolei Autocom za ok 600 zł,...

    Samochody Elektryka i elektronika   21 Lis 2022 19:06 Odpowiedzi: 16    Wyświetleń: 10584
  • Seat Leon 1M1 1.6 16V 2001r - Kodowanie pilotów, moduł komfortu, błędy

    Witam, Posiadam auto jak w temacie, mam do niego tylko jeden pilot który ma płytkę centralnego zamka i transponder immobilisera. Ostatnio jak wróciłem ze sklepu pilot przestał otwierać auto. Uzbroiłem się w narzędzie diagnostyczne i w module komfortu pojawił się błąd o nr 0955 : Kluczyk 1 - przekroczona granica adaptacji. Wykonałem więc procedurę dodania...

    Samochody Zabezpieczenia   28 Sty 2019 20:51 Odpowiedzi: 0    Wyświetleń: 5844
  • Kluczyk Thalia

    Witam. Wiem , że temat ma ponad 10 lat ale może mnie nie zakopiecie żywcem za podpięcie się pod niego :) Mam kluczyki takie jak na zdjęciu do swojej Renówki. Poczciwej bo z 2001 roku. Model Thalia. 1.4 16V. Kluczyk z baterią w obudowie. Kilka dni temu przestał działać centralny zamek. Naciskanie przycisku na kluczyku powoduje świecenie diody na nim...

    Samochody Zabezpieczenia   13 Maj 2019 19:11 Odpowiedzi: 9    Wyświetleń: 3602
  • Fiat Ducato 2016 - Problem z zaprogramowaniem 2 kluczyka

    nie będzie prekodowany to nie będzie działać i KONIEC w temacie zacytowałem użytkownika który twierdzi że prekodowanie służy do tego aby pilot działał, bez prekodowania miało się dać odpalić silnik.. a gdyby to nie był kluczyk z pilotem tylko zwykły bez pilota, to też trzeba by było prekodować? Po za tym, dziś docinałem grot to w serwisie gdzie mi...

    Samochody Elektryka i elektronika   03 Lis 2022 11:15 Odpowiedzi: 6    Wyświetleń: 1098
  • Touran 2018 centralny zamek, brak możliwości kodowania w sterowniku centralnego zespołu elektr.

    Zależy jaki masz klucz,pilot. Pozdr. Klucz, pilot taki jak na tej aukcji jeśli oczywiście chodzi o wygląd zewnętrzny, pozdrawiam Dokładnie wygląda tak. Dodano po 2 Klucz MQB Programując immo pilot wchodzi automatycznie. Możesz jedynie sprawdzić klucz czy wysyła sygnał testerem. Pozdr. Mam dwa kluczyki, oba by padły. Baterie były wymieniane, kontrolka...

    Samochody Początkujący   21 Lis 2022 11:12 Odpowiedzi: 7    Wyświetleń: 336
  • Czy ktoś posiada instrukcje kodowania kluczyków FORD-a??

    Moze takhttp://www.elektroda.pl/rtvforum/topi...

    Samochody Szukam   10 Lis 2005 02:33 Odpowiedzi: 1    Wyświetleń: 2490
  • Pomocy...!! Kodowanie kluczyka immo i Audi A3 97r.

    Jasną sprawą jest, że w gre wchodzi jedynie wylutowanie pamięci z centralki, pytanie jakie się pojawia, to jakiego programu użyć no i gdzie odczytać kod?? Wiele osób na forum elektrody pisze, że kod się powtarza 2 lub nawet 3 razy... czy ktoś z was próbował już zczytać info z pamięci i ma jakieś wskazówki na to, gdzie szukać kodu??

    Samochody Elektryka i elektronika   31 Sty 2006 08:23 Odpowiedzi: 10    Wyświetleń: 20839
  • megane, kodowanie kluczyka

    Samochody Elektryka i elektronika   02 Lip 2006 10:14 Odpowiedzi: 1    Wyświetleń: 2428
  • Kodowanie kluczyka po wymianie baterii w Toyocie Corolli e12

    Znów mam problem z kluczykiem zapasowym, którego nie używałem przez dwa miesiące. Dziś spróbowałem nim uruchomić autko i klapa. Zadziałał centralny zamek ale po włożeniu do stacyjki dalej migała kontrolka czerwona Security. No i nie udało się odpalić silnika. Co robić? Liczę na fachowców z forum Elektroda.

    Samochody Zabezpieczenia   11 Paź 2016 20:29 Odpowiedzi: 16    Wyświetleń: 42174
  • jak zrobić kodowanie kluczyka nissan almera dci 2003 rok??

    Jeśli z angielskiego nie uciekałeś na wagary i umiesz posługiwać się tym językiem, to poniższy rysunek powinien Ci pomóc Gdyby były problemy, odezwij się, pomożemy.....

    Samochody Zabezpieczenia   24 Sty 2008 15:23 Odpowiedzi: 3    Wyświetleń: 11558
  • Kodowanie kluczyka bmw 525tds e34, 1996rok

    Samochody Elektryka i elektronika   30 Mar 2008 19:36 Odpowiedzi: 5    Wyświetleń: 8848
  • Kodowanie pilotów do alarmu Master typ 2

    Witam. Mam problem z wyżej wymienionym alarmem. Sytuacja jest taka, że mój ojciec zamknął samochód i poszedł zrobić zakupy i gdy wrócił próbował otworzyć pilotem od alarmu samochód ale w ogóle nie reagował, czyli pewnie wypadł pilot. Jako, że nie było wyjścia, otworzył samochód kluczykiem i alarm oczywiście zaczął wyć, ale włączył go w tryb awaryjny...

    Samochody Zabezpieczenia   25 Gru 2008 18:51 Odpowiedzi: 4    Wyświetleń: 6019
  • Seat Toledo 1.9 TDI kodowanie kluczyka na otwieranie drzwi.

    Witam A szukałeś na forum? Jest taki przycisk SZUKAL jeśli o to chodzi

    Samochody Początkujący   23 Sty 2012 14:12 Odpowiedzi: 5    Wyświetleń: 14290
  • Kodowanie pilota Sharan 1996 - powrót

    Tak, pod fotelem kierowcy "wiszą" dwa niepodłączone kable jeden podobny trochę do wtyku antenowego (ale mniejszy) a drugi zakończony gniazdkiem iluś tam pin'owym. Ale moduł komfortu działa (szyby i szyberdach sie domykają po przytrzymaniu kluczyka w drzwiach w pozycji zamykania... (oczywiście podczas zamykania "zwykłym" kluczykiem) Anglik odpada, Sharan...

    Samochody Elektryka i elektronika   13 Gru 2017 21:26 Odpowiedzi: 22    Wyświetleń: 22353
  • Kodowanie pilotów. Czy pilot może obsłużyć dwie centralki?

    Zamontowałem koledze w passacie `99 cały sterownik z dwoma pilotami (na allegro coś 60-70 zeta). Pilot po roku jeszcze się nie rozpadł (ten chiński) - przełożyłem mu immo z oryginalnego kluczyka do tego chinola oraz scyzoryk i wszystko worked. Dodatkowo ładnie do programował się drugi oryginalny kluczyk. Podłączałem mu w drzwiach kierowcy wpinając się...

    Samochody Początkujący   16 Lis 2009 22:53 Odpowiedzi: 13    Wyświetleń: 4697
  • kodowanie kluczyka laguna

    Samochody Zabezpieczenia   05 Lip 2010 21:54 Odpowiedzi: 1    Wyświetleń: 5057
  • Seat Leon 1,9 tdi 2001 - kodowanie kluczyka

    tu jest opis do toledo roczniki do 99 spróbuj tak seat w wielu modelach stosował ten sam sposób może u ciebie też zadziała jest to skan z polskojęzycznej książki jak nie będziesz miał punktów to mi napisz do dam ci parę bo to mój plik jest

    Samochody Elektryka i elektronika   29 Mar 2019 16:36 Odpowiedzi: 4    Wyświetleń: 96682
  • Scenic 98r 1.6 na fenix5 nie można zakodować kluczyka

    Panowie, dziś wpadłem do auta tylko na chwilę bo nie miałem czasu ale pstryknąłęm zdjęcie, więc to jest stary niby UCH, gdzie mogę szukać przyczyny że nie chce wejść w tryb kodowania kluczyka???

    Samochody Elektryka i elektronika   07 Mar 2011 22:33 Odpowiedzi: 21    Wyświetleń: 4137
  • Kodowanie kluczyka w programie DDT2000

    Jeśli masz taki kluczyk to tam siada cewka,można to naprawić.Nie sądze żeby klucz ot tak sobie wypadł z UCH Nigdzie indziej nie widziałem tego poza clio II faza I/kangoo. Laquna I nigdy nie miała UCH

    Samochody Elektryka i elektronika   15 Paź 2011 19:24 Odpowiedzi: 19    Wyświetleń: 11925
  • Jak zakodować kluczyk Passat b5 1997 rok

    Witam. Jeśli chodzi o programowanie dodatkowego kluczyka do VW B5 to tylko komputer, przez kabel VAG-owski. Kabel nie jest drogi i można samemu zakodować. Odnośnie kodowania kluczyka odsyłam do obszernego forum nie możesz otworzyć drzwi dodatkowym kluczykiem, to obstawiam (...) brak immobilisera w kluczyku Kolego

    Samochody Zabezpieczenia   05 Lut 2012 15:08 Odpowiedzi: 4    Wyświetleń: 21927
  • Kodowanie kluczyka Crypto 48 VAG

    chyba kolego z kimś mnie pomyliłeś ja takich błedów nie popełniam !!! Faktycznie. Koleś z avatara wygląda inaczej. Troszkę tutaj namieszałeś ,ale wnioskuje z tego że stary klucz się koduje a nowy nie ,wiec problem będzie w transponderze zły lub uszkodzony masz tam mieć c 48 !! pozdro rox1! Nie ma takiej opcji by uszkodzić transponder kablem. Chyba...

    Samochody Elektryka i elektronika   27 Sty 2018 21:12 Odpowiedzi: 35    Wyświetleń: 38791
  • BMW E87 - BMW E87 - kodowanie kluczyka

    Witam, potrzebuję informacji jak zakodować kluczyk aby przyciski w nim chodzily, co wazne z odpaleniem problemów nie ma.

    Samochody Początkujący   18 Lip 2012 09:14 Odpowiedzi: 0    Wyświetleń: 11009
  • kodowanie kluczyka citroen c2

    Znalezienie tego na google zajęło 15 sek Więcej czasu zajmuje rejestracja na elektrodzie, wstawienie posta i czekanie na odpowiedź temat można zamknąć, link poniżej dla potomnych leniwych:

    Samochody Elektryka i elektronika   01 Paź 2022 16:17 Odpowiedzi: 6    Wyświetleń: 30747
  • VW Passat B5 98r - Kodowanie kluczyka pod nowy licznik, transpondery CRYPTO 48

    Witam. Nie moglem odczytac odnosnie czasu immo, ale odczytalem blok 22 odnosnie ilosci kluczykow i mozliwosci programowania. Zalaczam screen: A wiec z wynika z tego ze pestke nie da sie zaprogramowac na nowo, a wiec czekam nanowe CRYPTO ID48 Dodano po 4 Dzisiaj doszly do mnie 2 czyste transpondery Crypto ID 48 i dalej dupa, nie chce ich zakodowac. Jak...

    Samochody Elektryka i elektronika   09 Mar 2013 19:02 Odpowiedzi: 28    Wyświetleń: 13314
  • Audi A6 97r. - 2.4 Kodowanie pilota centralnego zamka

    Witam mam pewien problem. Po wymianie modułu komfortu w autku jak w temacie nie działa pilot centralnego. Przed awarią samego modułu podobno działał bez zarzutu. Komfort 4B0 962 258 B, wymieniony na identyczny nr. W nowym (używanym) delikatnie różniło się kodowanie 04814, w tym co był na samochodzie 04618. Więc nowy przekodowałem, żeby wszystko grało....

    Samochody Elektryka i elektronika   17 Mar 2016 21:43 Odpowiedzi: 7    Wyświetleń: 12306
  • renault meganeI 96r - zgubiłem kluczyk

    Witam.Bardzo proszę mi poradzić co zrobić w sytuacji zgubienia kluczyka do Ranault Megane I 96r. kluczyk na podczerwień . Czy można w ogóle kupić kluczyk z czystą elektroniką i samemu go za kodować, lub czy jest np. taka możliwość kupienia używanego kluczyka z elektroniką i zakodować go z moim kodem.Nie znam się na tym dobrze więc jeszcze raz proszę...

    Samochody Początkujący   18 Wrz 2014 07:09 Odpowiedzi: 2    Wyświetleń: 1968
  • Co to za układ /oznaczenia raczej kodowane/510164 75078020 w obudowie TQFP 44?

    Witam. Co to jest za układ oznaczenia raczej kodowane: 510164 75078020, obudowa: TQFP 44. Pochodzi z pilota do auta Peugeot. Zamieszczam zdjęcie. Nie mogę go przyuczyć do auta. Pilot dokupiłem używanego (identyczna elektronika jak w działającym). Transponder zakodowałem w PP. Kluczyk dociąłem i jest OK. Tylko nie działa pilot. Dzięki. Jeżeli jest to...

    Elementy Elektroniczne - zamienniki i identyfikacja   14 Gru 2013 22:00 Odpowiedzi: 0    Wyświetleń: 5109
  • Yaris '03 - Dorobienie kluczyka

    Witam od kilku dni jestem a dokładnie żona jest posiadaczem Yaris 2003rok automat z silnikiem 1,3VVTi i problem polega na tym ze mam tylko jeden kluczyk ( na zdjęciu) i tu moje pytanie ile możne kosztować dodatkowy klucz czy cza go jakoś kodować czy tylko dorobić grot ( w kluczyku jest sterowanie centralnego zamka). Jestem z Krakowa i znalazłem fachowca...

    Samochody Początkujący   30 Gru 2013 17:25 Odpowiedzi: 8    Wyświetleń: 6141
  • Seat Cordoba 1.9 TDI - kodowanie kluczyka

    Mam taki błąd jak na zdjęciu. Nie można skasować. Nie działa pilot. Byłem w aucie 5 min nie było czasu szukać, gdzie jest sterownik tego centralnego?? Rozumiem że jest to pilot na podczerwień?? Czy ten sterownik to 3A tak jak na rysunku?? Pozdrawiam

    Samochody Elektryka i elektronika   04 Mar 2014 12:53 Odpowiedzi: 22    Wyświetleń: 34046
  • skoda/octavia 1/2002 - kodowanie pilota nie kluczyków po zmianie batrii

    A co do sterownika to nie wiem skąd go przeczytać

    Samochody Elektryka i elektronika   16 Paź 2015 11:04 Odpowiedzi: 4    Wyświetleń: 7599
  • Vitara - autoalarm HPB2 Meta System kodowanie kluczyka

    trzeba go jakoś "połączyć" z alarmem Pilot nie ma nic wspólnego z alarmem tylko z samochodem. Tak to jest fabryczny alarm w kluczyku To nie jest alarm fabryczny, tylko współpracujący z fabrycznym pilotem. Mógł zostać zamontowany w jakimkolwiek warsztacie lub w ASO przed sprzedażą samochodu. Zamieść zdjęcie klucza, będzie łatwiej Ci pomóc, taki? Jedna...

    Samochody Zabezpieczenia   22 Lip 2016 09:46 Odpowiedzi: 14    Wyświetleń: 2589
  • Audi a3 8l Kodowanie pilota - Brak możliwości zakodowania

    Kodowanie jak powyżej Wygenerowałem pin z eprom ( sprawdziłem dla pewności kalkulatorem hex i sie zgadzał ) Lecz po wpisaniu pojawia sie taki komunikat czy po przekręceniu lub wyjęciu kluczyka w stacyjce wartość "key position" powinna się zmieniać czy chodzi o zamek drzwi?

    Samochody Początkujący   16 Mar 2017 19:40 Odpowiedzi: 3    Wyświetleń: 10731
  • kodowanie kluczyka start/sop corolla verso

    Samochody Początkujący   15 Kwi 2017 17:39 Odpowiedzi: 2    Wyświetleń: 4767
  • Fiat Stilo 2003 - Kabel do kodowania kluczyka

    Ja w STILO do programowania kluczyka uzywałem kabla: KABEL INTERFEJS ELM 327 (od groma na znanym portalu aukcyjnym) Program ''Multi ECU Scan" lub "Fiat ECU Scan"

    Samochody Początkujący   14 Lut 2018 13:58 Odpowiedzi: 11    Wyświetleń: 9426
  • Kodowanie kluczyka Mercedes ML-163

    Nie wiem skąd wielu kolegów ma informacje o całkowitej katastrofie i braku możliwości dorobienia klucza do starego ML'a. Aktualnie problem (brak możliwości) jest z dorobieniem klucza do nowych "mietków" począwszy od mniej więcej (zależy od modelu) 2014 roku, które używają systemu FBS4. Stary ML to prozaiczny system DAS3. Trzeba mieć do tego sprzęt i...

    Samochody Elektryka i elektronika   07 Gru 2019 22:38 Odpowiedzi: 34    Wyświetleń: 34856
  • Peugeot 307 - Kodowanie kluczyka

    Witam. Tu jest podobny temat.

    Samochody Elektryka i elektronika   14 Mar 2018 16:17 Odpowiedzi: 1    Wyświetleń: 6087
  • VW Golf IV - Kluczyk (pilot) stopniowo traci zasięg

    Witam. Miałem podobny problem w Skoda Octavia I. Pamiętam, że ponowne kodowanie kluczyka pomagało na jakiś czas. A sam problem z pilotem pojawia się (o ile pamiętam), gdy próbujemy używać pilota w dużej odległości od samochodu. Skoda Octavia I to praktycznie VW Golf IV. Kodowanie do Skody jest tu:

    Samochody Elektryka i elektronika   30 Paź 2019 11:31 Odpowiedzi: 2    Wyświetleń: 1206
  • VW Passat B5 1999 - Immobilizer, kodowanie kluczyka

    Wyjalem zobaczymy czy pomoże Dodano po 8 Dobra auto odpala na obu kluczykach ale teraz nie mogę ustawić otwierania centralnego zamka to raz a dwa przy włączeniu silnika w obu kluczykach włącza się alarm świecą światła awaryjne i trabi przez 10 s 🤦‍♂️ Dodano po 3 Udało się wszystko naprawić. Po złożeniu kluczyka włączał się...

    Samochody Elektryka i elektronika   28 Lut 2020 21:38 Odpowiedzi: 11    Wyświetleń: 5514
  • Renault Megane 1 - Skąd uzyskać kod do kluczyka i immo

    Witam, potrzebuję kodu, ale po rozkręceniu kluczyka nie znalazłem żadnych numerów - pusty plastik :( Może ktoś mi podpowie jak "nie w ASO" zdobyć taki kod? A przy okazji: co prawda mam komputer z XP, ale program do generowania PIN do kodowania immobilizera nie chce się uruchomić. Pisze, że nie może utworzyć pliku tymczasowego. Tak to wygląda po rozebraniu....

    Samochody Elektryka i elektronika   13 Mar 2021 20:09 Odpowiedzi: 317    Wyświetleń: 29982
  • SEAT CORDOBA Kodowanie pilota w kluczyku

    Jestes pewien ze nr 48 a nie 42? mam dokladnie taki kluczyk jak na foto, na aukcji opisuja ze to 42 ..

    Samochody Elektryka i elektronika   16 Lis 2020 21:46 Odpowiedzi: 27    Wyświetleń: 54414
  • Rav4/2005 - Immobiliser kodowanie - jakie urządzenie?

    Witam, mam problem ponieważ kupiłem auto z dwoma kluczykami, jeden caly drugi z urwanym chipem do immo. Niestety zgubiłem kluczyk ktory byl caly i nie moge odpalic samochodu. Przebywam obecnie w Norwegii a koszty w serwisie sa niesamowicie drogie. Druga opcja jest wyjecie modulu immo i wyslanie do polski ale nie chce mi sie rozbierac calego srodka by...

    Samochody Zabezpieczenia   13 Sty 2021 08:37 Odpowiedzi: 3    Wyświetleń: 813
  • Nissan Almera - problem z kodowaniem transpondera w kluczyku

    Nareszcie mogłem rozkręcić osłonę i dokładnie takie jak w linku było. Stawiał bym na numer pod pierwszym kodem kreskowym i pin pod drugim ale głowy nie dam . A i tak nalepka inna niż w instrukcji i nic bym sam nie wygenerował Dodano po 2 Problem rozwiązany . Instrukcja obrazkowa z Natc Code Calc właściwie pokazuję gdzie i które kody wpisywać. Transponder...

    Samochody Początkujący   03 Gru 2022 18:33 Odpowiedzi: 6    Wyświetleń: 381
  • BMW E39 - Immo off nie działa przez kabel Galletto 1260 - jak prawidłowo wykonać kodowanie?

    Witam. Chce pomóc mlodej osobie która uczy się w zawodzie "naprawiacza samochodów" :) i ma jakieś BMW E39 (nawet nie wiem jak to wygląda). I teraz tak. On ma jakiś kabel Galletto 1260 przez który zgrywa soft. Problem jest taki że auto odpala na sterowniku jego kolegi który ma m.in. immo off. Jak on ma jakiś inny sterownik z kluczykiem w pętli?(tak mi...

    Samochody Początkujący   07 Lut 2023 23:16 Odpowiedzi: 8    Wyświetleń: 660
  • Citroen C4 Picasso 2007 - problem z uruchomieniem, nieznany kluczyk, handbrake faulty, skrzynia MCP

    Po długim szukaniu na forach znalazłem po części rozwiązanie, być może i od spadków napięć tak jak kolega wyżej pisał, winny był kalkulator silnika któremu brakowało masy. Masę dałem z akumulatora, auto odpala, przechodzi uczenie skrzyni biegów, natomiast pozostało sporo błędów Na razie wrzucam te dwa bo nie mogę ich zdiagnozować. Pozostaje również...

    Samochody Elektryka i elektronika   06 Sie 2023 21:16 Odpowiedzi: 34    Wyświetleń: 2859
  • Zmiana licznika w Golfie 4 1.9tdi 2002r: Kodowanie immo nie zapisuje kluczyków

    Dzień dobry Mam taki problem, posiadam golfa 4 2002r, 1.9tdi 101km, mam dwa kluczyki Obecnie mam licznik pół fis: j10 920 826C Chcę włożyć taki licznik pół fis: 1J5 920 826C Problem jest taki że jak wchodzę w vcd 17 wpisuję pin, i wchodzę potem w blok 21 to po usunięciu kluczyków i wpisaniu wartości 2(bo mam dwa kluczyki) na sekundę pojawia się że zapisało...

    Samochody Elektryka i elektronika   03 Paź 2023 20:40 Odpowiedzi: 19    Wyświetleń: 321
  • Kodowanie radia Android i usunięcie błędów Can Gateway - VW Polo 6R Blue GT 1.4 TSI 2013

    CAN_HIGH: 0-0,01V bez kluczyka w stacyjce, około 1V z zapłonem, około 1V z uruchomionym silnikiem. CAN_LOW: 5,6V bez kluczyka w stacyjce, około 4V z zapłonem, około 4V z uruchomionym silnikiem. 12V Górny PIN: 12V bez kluczyka w stacyjce, około 11,8V z zapłonem, 14,5V z uruchomionym silnikiem. 12V Dolny PIN: 12V bez kluczyka w stacyjce, około 11,8V z...

    Samochody Elektryka i elektronika   29 Lis 2023 01:58 Odpowiedzi: 29    Wyświetleń: 594
  • Audi A3 8L '98: Czy kodować kluczyk i licznik przy wymianie na VDO?

    8L0920900B

    Samochody Elektryka i elektronika   28 Sty 2024 20:33 Odpowiedzi: 5    Wyświetleń: 150
  • Jak zakodować kluczyk w VW B6

    Może ta stronka pomoże: lub ta:

    Samochody Elektryka i elektronika   25 Kwi 2010 19:10 Odpowiedzi: 8    Wyświetleń: 15833
  • reno clio 1,2 problem z kluczykiem

    witam jestem uzytkownikiem samochodu renault clio 1,2 rok prod 1997 poliftingu. od paru dniu borykjam sie z problemem otwarcia samochodu z pilota i tymsamym odpaleniem tego auta. dodam ze od pewnego czasu nie zawsze zaskakiwal pilot do otwarcia tych drzwi musialem kilka razy kliknac zeby zaskoczyly dzisiaj calkowiecie odmowil posluszenstwa. pierwsze...

    Samochody Elektryka i elektronika   13 Wrz 2010 07:23 Odpowiedzi: 5    Wyświetleń: 2330
  • Kluczyk do Berlingo 1.4 z 2003

    Witam. Posiadam do samochodu służbowego tylko jeden kluczyk a chciałbym dorobić sobie drugi. [url=]Link Pilot jak widać osobno. Czy tego typu kluczyk trzeba kodować? Czy dorobię taki kluczyk w punkcie dorabiania kluczy czy muszę skorzystać z serwisu? Jaka orientacyjnie może być cena za dorobienie?

    Samochody Elektryka i elektronika   18 Kwi 2011 09:42 Odpowiedzi: 2    Wyświetleń: 1493
  • Pilot i kodowanie go VW GOLF IV

    Jeżeli chcesz zaprogramować samego pilota nie trzeba żadnego kodu jedynie gdy cały kluczyk z immobilizerem, w wagu jedynie musisz zrobić miejsce na zaprogramowanie nowego pilota kasując pozostałe zakodowane, poprzednio, 4 miejsca. A ja się dołączę do tematu bo nie chce zakładać nowego tematu w golfie z 2003 roku nie mogę w module komfortu wyzerować...

    Samochody Zabezpieczenia   31 Sie 2013 10:41 Odpowiedzi: 3    Wyświetleń: 12866
  • Seat Leon 1.9 TDI - Zamykanie szyb z kluczyka

    Wejdź w moduł komfortu i podaj mi kodowanie, z tego okienka co ci zaznaczyłem.

    Samochody Początkujący   10 Sty 2016 18:17 Odpowiedzi: 59    Wyświetleń: 30861
  • sharan 2000 r lift - jaki kluczyk kupić ?

    Immo wylaczone, fura pali bez klucza, wiec kompa nie potrzebujesz. Pewnie mruga autko na liczniku podczas jazdy? Pilota uzywanego kupisz bez problemu. Kodowanie A co z kluczem? Najszybciej jest zrobic klucz na podstawie zamka. Jedna srubka i klamka z zamkiem w rekach. Wysylasz do firmy, odsylaja gotowy klucz i tyle. Nie trzeba kupowac nowych i bawic...

    Samochody Elektryka i elektronika   10 Lis 2013 21:32 Odpowiedzi: 7    Wyświetleń: 1599
  • Seat Codroba - Nie działa centralny zamek z kluczyka

    i

    Samochody Początkujący   16 Maj 2015 22:42 Odpowiedzi: 8    Wyświetleń: 3780
  • Autoalarm SPY typ nieznany.Potrzebny schemat podłączenia, instrukcje kodowania.

    "Dodanie pilota zdalnego sterowania SPY Przełączyć stacyjkę w pozycję "ACC ON" , wcisnąć na 5s. przycisk reset - syrena zasygnalizuje 5 razy, a dioda LED zaświeci się. W ciągu 10 sek. naciśnij dowolny przycisk pilota, aż usłyszysz 2x dźwięk syreny, naciśnij w ciągu 10 sekund. dowolny przycisk drugiego pilota - dla którego syrena zasygnalizuje 3x ....

    Samochody Zabezpieczenia   30 Maj 2016 12:18 Odpowiedzi: 2    Wyświetleń: 3408
  • Audi A4 B7 - Licznik dopasowanie kluczyków - SAFE

    nie zrobisz bez odpowiedniego sprzętu,vcds czy VDC nic nie zdziałasz I tu się nie zgodzę z kolegą. Poczytałem, poszperałem, po testowałem i sprawa ma się tak, że licznik chula jak tralala. A zrobiłem to VDC. Po pierwsze primo kopia epromu na trzech różnych nośnikach. Uwierzcie, że się przyda jak robisz to pierwszy raz. W razie def error czy innych...

    Samochody Elektryka i elektronika   02 Maj 2017 20:08 Odpowiedzi: 2    Wyświetleń: 4626
  • Peugeot Partner 2004 - Dopisanie drugiego kluczyka a prekodowany transponder

    Zatem dzięki za wyjaśnienia! EDIT Zakupiłem transponder ( z allegro, od firmy )- w opisie aukcji jest napisane, że prekodują na dany typ auta - poprosiłem o typ PSA. Sprzedawca zajmuje się transponderami/kluczykami/blokadami zapłonu itp. na moją prośbę o prekodowanie napisał mi że: "Peugeot nie potrzebuje PREkodowania. Koduje się i działa. " W tej chwili...

    Samochody Początkujący   10 Wrz 2022 11:41 Odpowiedzi: 12    Wyświetleń: 2790
  • Jak zakodować kluczyk z pilotem do VW Passat B5 1.9tdi 97-2000?

    A zakodowanie kluczyka??? VCDS kodowanie pilota. Moduł komfortu, adaptacja, kanał 21.

    Samochody Elektryka i elektronika   24 Paź 2019 18:31 Odpowiedzi: 1    Wyświetleń: 363
  • Fiat Panda 2005r - Problem z fabryczbym kluczykiem scyzorykiem

    Wow! jaki ty mądry jesteś. No brawo Ty. Też mi gadał o tej cewce, zresztą auto było już u 6 elektroników samochodowych, dorabiaczy kluczy itd. Ten ostatni zajmuje się awaryjnymi otwieraniami samochodów, kodowaniem immo, itd itp. Kumpel ściągnął ze Stanów Mustanga bez kluczyków za atrakcyjną cenę. Facet mu to ogarnął, fabryczne immo i wszystko inne nietknięte,...

    Samochody Początkujący   31 Mar 2021 09:24 Odpowiedzi: 15    Wyświetleń: 1179
  • Wtryski Astra H 1.3 CDTI: Kodowanie wartości podawania paliwa, błąd ECU, lampka kontrolna

    Witam. Mam problem w aucie. Astra h 1.3 CDTI pojawił się błąd który najprawdopodobniej wskazuje na nie zakodowaną wartość podawania paliwa przez wtrysk. Czy ten kod zaznaczony na czerwono to jest kod który się wpisuje w programie op com żeby dodać wtrysk do ECU. Zaznaczę że wtryski nie były wyjmowane. Pojawiła się pomarańczowy lampka auto z kluczykiem....

    Samochody Elektryka i elektronika   13 Lis 2023 20:38 Odpowiedzi: 3    Wyświetleń: 93
  • Montaż centralki bezkluczykowej w Golf V - czy potrzebna kodowanie?

    Witam witam mój centralny, który chcę dołożyć to . A czy to dokładnie pod tą wtyczkę mam się podłączyć o tą czarną większą. Dodano po 2 Chciałabym dodać że mam kluczyk razem z pilotem i on też działa mam nadzieję że nie koliguje to z tym co wcześniej napisałeś

    Samochody Zabezpieczenia   22 Gru 2023 00:01 Odpowiedzi: 8    Wyświetleń: 204
  • Passat B5,sedan, 1,9TDI. Kluczyk, tablica z przekaźnikami.

    kodowanie pilota do centrala jest gdzieś opisane na elektrodzie. trzeba poszukać. ale nie miej dużych nadzieji że ręcznie da rade. mi się to jeszcze nie udało. więc odrazu biorę vag.

    Samochody Elektryka i elektronika   31 Sie 2006 13:37 Odpowiedzi: 7    Wyświetleń: 2190
  • FIAT PUNTO I 95 -kodowanie pilota

    Jeśli to fabryczny pilot IR w kluczyku to :arrow:

    Samochody Elektryka i elektronika   16 Wrz 2009 14:20 Odpowiedzi: 2    Wyświetleń: 5623
  • VW passat b5 '98 - nie działa centralny zamek z kluczyka (scyzoryk)

    Chwila po wpisaniu w szukajkę ,,programowanie pilota passat,,

    Samochody Początkujący   12 Gru 2012 19:47 Odpowiedzi: 8    Wyświetleń: 12225
  • kod pin pilota vectra b 2001r Jak odzyskać pin do kodowania pilota opel vectr b

    Witam delphi sobie nie poradzi z wyciągnięciem pinu może z zakodowaniem nowego kluczyka tak.Ja wyciągam pin z puszki immo która znajduje się na stacyjce.Zerknij tutaj ja z tej puszki wyciągam pin.

    Samochody Szukam   12 Paź 2020 19:44 Odpowiedzi: 11    Wyświetleń: 2049
  • Seat Ibiza 2010 r - Kodowanie Pilota - centralny zamek

    Witam! W moim orginalnym pilocie do Seata Ibiza 2010 r. padła bateria. Po jej wymianie pilot nie otwiera zdalnie centralnego zamka. Bateria jest OK za każdym kliknięciem dioda miga na czerwono. Jak zakodować kluczyk? Czy jest możliwość zaprogramowania bez użycia kabla OBD2 i VCDSu? Nadmienie, że próbowałem bezskutecznie metod z postu jak nieżej, ale...

    Samochody Elektryka i elektronika   04 Lut 2019 16:20 Odpowiedzi: 1    Wyświetleń: 3234