REKLAMA

generator klasy

Znaleziono około 1576 wyników dla: generator klasy
  • Generator Van De Graffa + sterownik silnika.

    Cześć, Postanowiłem pochwalić się swoim urządzeniem które budowałem oraz dopracowywałem kilka tygodni. Oczywiście, jak w tytule jest to generator Van De Graffa. Sam był budowany na konkurs który, nieskromnie się przyznając, wygrałem wraz z innym użytkownikiem tego forum (ciekawe czy się przyzna :) ). Sama konstrukcja została oparta o

    DIY Początkujący   16 Lip 2017 21:52 Odpowiedzi: 2    Wyświetleń: 4344
  • Nagrzewnica indukcyjna z miękko przełączanym generatorem SEPR

    Nagrzewnica indukcyjna z miękko przełączanym niesymetrycznym generatorem o rezonansie równoległym Jakiś czas temu pracowałem nad eksperymentalną konstrukcją nagrzewnicy indukcyjnej wykonanej w topologii niesymetrycznej, miękko przełączanej o rezonansie równoległym. Budowa układu protypowego o mocy cieplnej 500W miała na celu potwierdzenie założeń dot....

    Artykuły   10 Cze 2021 08:53 Odpowiedzi: 3    Wyświetleń: 2910
  • REKLAMA
  • generator klas!! nie mozna odtworzyc filmu

    chce ogladnac film a wyskakuje mi taki komunikat: "Generator klas ClassFactory nie moze dostarczyc zadanej klasy. AviTag: Xvid" uzywam subedita. a ten kodek to mam wgranego bo inne filmy dzialaja!! pomoze ktos??

    Software serwis   04 Gru 2005 20:50 Odpowiedzi: 4    Wyświetleń: 2046
  • REKLAMA
  • Klasa pracy wzmacniacza

    Ja mogę dorzucić od siebie : Klasa A zapewnia małe zniekształcenia nieliniowe sygnału ale sprawność jest niewielka teoretycznie 50% w praktyce max 30% zwykle mniej Klasa B zapewnia większa sprawność teoretycznie 78,5% ale ze względu na nieliniowa charakterystykę wyjściowa występują zniekształcenia skośne zastowanie na estradzie brak chyba ze do zasilania...

    Nagłośnienie Profesjonalne   16 Mar 2007 11:44 Odpowiedzi: 12    Wyświetleń: 10353
  • Generator funkcyjny NE555 od Velleman

    koledzy generator pierwsza klasa. a możecie mi podpowiedzieć w jaki sposób zrobić na tym układzie z pierwszego postu modulacje FM? Da radę w ogóle? Dość pilne więc będę bardzo wdzięczny za szybkie odpowiedzi. Pozdro

    Artykuły   19 Kwi 2012 12:48 Odpowiedzi: 55    Wyświetleń: 64838
  • Parametry techniczne generatora

    Witam. Generatorów oraz ich rodzajów jak także parametrów uzwojeń oraz rezystancji uziemień czy też klasy izolacji oraz wymaganej pojemności jest naprawdę dużo. Ot generator generatorowi nie równy. Parametry doziemień zazwyczaj uzyskuje się poprzez pomiary pętli uziomów i doziemień na miejscu przeznaczenia. Producent dopuszcza plus minus jakiś parametr...

    Elektro Maszyny i Urządzenia   05 Paź 2016 21:07 Odpowiedzi: 4    Wyświetleń: 1089
  • Transformacja energii wiatru na elektryczność: projekt drzewka z liśćmi-generatorkami

    Czemu nie sprawdzisz, jak działa zespół takich "liści", bez żadnych diód? Przetwornica przy tak małych generatorach to raczej średni pomysł. Skoro generator jest tak małej, mocy, po co ją tracić na podwyższenie napięcia i do tego dodawać dodatkowy element, który może ulec uszkodzeniu i kosztuje, w każdym mini generatorze? Podwyższenie napięcia w przetwornicy...

    Na pograniczu nauki   22 Paź 2023 23:10 Odpowiedzi: 4    Wyświetleń: 288
  • Najmniejszy generator WN - projekt dla każdego - koncepcje Pocket Plasma Cutter

    Witam! W związku z kolejnymi testami transformatorów do przetwornic impulsowych/inverterow. znalazlem ciekawe wykorzystanie transformatorów impulsowych z ładowarek do telefonów itp. (transformatora nie trzeba przewijać, jeśli już - dobrać odpowiedni filtr RC (4v -> 1.8-2.4k, 330nF przykladowo dla wiekszosc traf ładowarek usb 5v. Takie trafa mają...

    Początkujący Naprawy   09 Mar 2018 06:47 Odpowiedzi: 3    Wyświetleń: 1272
  • REKLAMA
  • Generator prostokąta - multimetr -

    Osobiście chyba w jednym multimetrze miałem funkcję generatora. Był to miernik dość niskiej klasy za co najwyżej kilkadziesiąt złotych. Mierniki wyższej klasy raczej takiego "bajeru" nie mają. To samo tyczy się dziurek do pomiaru hFE tranzystorów. Nie zdarzyło mi się korzystać z funkcji generatora choć -jak wszystko w życiu- może się kiedyś do czegoś...

    Początkujący Elektronicy   08 Mar 2018 09:05 Odpowiedzi: 3    Wyświetleń: 1791
  • Generator arbitralny Rigol DG922 ProSponsorowany

    Generator arbitralny Rigol DG922 Pro Weż udział w konkursie NDN     "Oscyloskop bez granic" do 31 Marca 2024 Do wygrania atrakcyjne urządzenia ! Kliknij tu i dowiedz się więcej Rigol w natarciu. Po oscyloskopowych nowościach w postaci rodzin DHO800 i DHO1000 przyszedł czas na generatory. Atak na konkurencję jest mocny, bo parametry opisanego w artykule...

    Artykuły   12 Mar 2024 21:23 Odpowiedzi: 2    Wyświetleń: 1611
  • Jitter i szumy fazowe- układy generatorów

    Zbyt ogólne pytanie. Na szumy składa się nie tylko to w jakim układzie pracuje generator. Na szumy i pływanie fazy mają też wpływ prądy tranzystora generatora, czystość szumowa samego zasilania, szumy rezystorów, itd. O niskoszumnych generatorach, ich konstrukcjach poczytaj w książce Poradnik ultrakrótkofalowca na stronach 385-390, 403-404, 416-423...

    Radiotechnika Serwis   26 Maj 2006 15:15 Odpowiedzi: 3    Wyświetleń: 2836
  • Jaki generator/agregat na działkę

    Stanąłem przed podobnym problemem, gdy w styczniu wyłączono nam na trwałe prąd na działkach (wróci po reinstalacji nowej sieci, kiedyś). Więc zacząłem się rozglądać za generatorem. Ponieważ bywam tam tylko weekendowo i mam piwnicę, to szukałem czegoś w miarę lekkiego, cichego i umożliwiającego podpięcie elektroniki czyli inwertera. Są to zabudowane...

    Elektro Co kupić?   23 Kwi 2018 16:00 Odpowiedzi: 4    Wyświetleń: 5436
  • REKLAMA
  • Dwa nowe układy pozwalają podejść na nowo do generatorów sygnału

    Dawniej najtrudniejszą częścią projektu generatora funkcyjnego była realizacja stopnia wyjściowego. Typowy generator sygnału oferuje wyjście o szerokim zakresie amplitud, od 25 mV do 5 V. Aby sterować obciążeniem o impedancji 50 Ω, tradycyjne generatory wykorzystują rozwiązania dyskretne, równolegle połączone ze sobą układy scalone lub dedykowane...

    Artykuły   21 Paź 2014 15:25 Odpowiedzi: 0    Wyświetleń: 3519
  • Rzadko zadawane pytania: kieszonkowy generator szumu białego

    Pytanie: Jak zmierzyć widmo jakiegoś układu dla wszystkich częstotliwości naraz? Odpowiedź: Szum zazwyczaj jest wrogiem elektronika, a każdy szanujący się projektant stara się zredukować jego poziom w układzie do minimum. Jednakże są pewne sytuacje, gdy dobrze scharakteryzowane źródło szumu, bez żadnych innych dodanych sygnałów, może być bardzo pożądane....

    Artykuły   26 Sty 2019 17:45 Odpowiedzi: 13    Wyświetleń: 5097
  • SatRTV - generator listy transponderów i kanałów

    Wgrałem kolejną aktualizację do bo mi się przydało i to bardzo. Przede wszystkim, doimplementowałem generowanie bukietów dla tunerów zgodnych z Enigma2. Jest to rozwiązanie problemu, z jakim się borykałem (a jest alternatywą dla poszukiwania gotowych list programów do tego tunera). Problem polega na tym, że ten tuner nie zawsze prawidłowo klasyfikuje...

    DIY Konstrukcje   15 Maj 2021 17:30 Odpowiedzi: 14    Wyświetleń: 6567
  • Kwarcowe rezonatory i generatory zegarowe - kompendium

    Zdolność precyzyjnego odmierzania czasu leży u podstaw rozwoju całej ludzkiej cywilizacji. Stworzenie zegara, który działa w każdej pozycji i w każdych warunkach leżało u podstaw nawigacji morskiej. O ile szerokość geograficzną da się obliczyć na podstawie wysokości słońca nad horyzontem, o tyle określenie długości wymaga wiedzy, która jest godzina...

    Poradniki Elektronika   16 Paź 2022 18:05 Odpowiedzi: 45    Wyświetleń: 4320
  • generator przebiegu trójkątnego

    To wygląda wyraźnie jakby przebieg miał modulowaną częstotliwość i stąd te przebiegi się nie nakładają równo po prawej stronie. Przyczyną mogą być np. tętnienia zasilania. Choć widzę u Ciebie na schemacie że dajesz jakieś kondensatory blokujące, to jednak użyty zasilacz może nie za bardzo się spisywać na nietypowym dla niego obciążeniu. Sprawdzić to...

    Projektowanie Układów   17 Mar 2016 19:47 Odpowiedzi: 5    Wyświetleń: 1812
  • Poszukuje schematu generatora LC przestajanego pojemnością.

    Jakoś nie widzę, żeby Andreo coś pisał o przestrajaniu diodą pojemnościową. A kilkadziesiąt do kilkuset pF to typowy zakres pojemności kondensatora strojenia od radia. Tylko nie wiem, czy nie będzie problemu z zakresem 1-5MHz, bo to oznacza zmianę pojemności 1:25 (wliczając w to pojemność montażu), i to już może być problem, jak się trafi kondensator...

    Projektowanie Układów   01 Wrz 2004 18:26 Odpowiedzi: 2    Wyświetleń: 1422
  • Generator liczb losowych oparty o pamięć RAM

    Jak wygenerować losowy ciąg bitów? Niektórzy ludzie powiedzą, że to niełatwe, inni, że to bardzo trudne, a jeszcze inni zastanawiać się będą, czy to w ogóle możliwe. Oczywiście, nie problemem jest tworzenie ciągów pseudolosowych bitów korzystając z oprogramowania, ale niestety nawet najlepszy programowy generator liczb pseudolosowych potrzebuje dobrego...

    DIY Zagranica   10 Lip 2015 19:41 Odpowiedzi: 31    Wyświetleń: 11505
  • Czasomierz do równi pochyłej - pomysły na generator.

    Poszukaj gotowego generatora kwarcowego 1MHz. Można takie kupić już za kilka zł. Zasilasz go napięciem 5V, a na wyjściu masz sygnał prostokątny TTL. Generatory kwarcowe na układach 74xx04 często bywają kapryśne i nie chcą się wzbudzać. To rzeczywiście fajny pomysł ale o taki generaotr w mojej okolicy i w sklepie internetowym, w którym zawsze kupuję...

    Projektowanie Układów   08 Gru 2015 18:49 Odpowiedzi: 2    Wyświetleń: 936
  • Najprostsze generatory na bramkach logicznych 4011 - materiały / książki

    Poniższy generator: został użyty w pewnej pozytywce (dokumentacja w załączeniu). Zastanawiam się, jak ułożyć wzór na ten generator. Klasyczny, książkowy generator ma inną budowę, zatem i wzór jest inny: Generator klasyczny wygląda tak:

    Początkujący Elektronicy   12 Paź 2017 11:10 Odpowiedzi: 30    Wyświetleń: 4419
  • Wzmacniacz w klasie AB ze wzmacniaczem róznicowym

    Znalazłem fajną książkę Feszczuka pod tytułem "Wzmacniacze elektroakustyczne". Poczytam o tym sprzężeniu najpierw a później tu wrócę, bo przyznam szczerze, że nie bardzo rozumiem. Nie traktujcie tego absolutnie jako żadnego przytyku w Waszą stronę i bardzo szanuję Waszą wiedzę, ale być może muszę mieć jeszcze łatwiej wytłumaczone :-) Dodano po 52 Pierwsze...

    Początkujący Elektronicy   24 Sie 2018 16:56 Odpowiedzi: 44    Wyświetleń: 2202
  • Potrzebny prosty schemat generatora prostokąta TTL 1-10Hz

    Dzięki za pomysły, czyli jednak diodą zaburzamy 50% wypełnienia, to czytelna idea. Myślę, że tych 5 elementów to już jest nie dużo. Kolego Kicajbas, masz rację, analizowałem trzy piątki w wersji klasycznej. CMOS powinien spełniać wymogi.

    Początkujący Elektronicy   20 Cze 2021 14:14 Odpowiedzi: 27    Wyświetleń: 828
  • Uziemienie generatora prądu zasilającego do uziemioną instalację

    Jednofazowy generator konfigurujesz sam - podłączając N pod jedno z wyjść prądnicy, obojętnie które i tworzysz tym samym ,,wyspę TT" W ramach doszkalania się przed rozpoczęciem modernizacji trafiłem na takie sugestie, ale trafiłem również na głosy w dyskusji, że takie operacje są niedopuszczalne, a nie potrafiłem tego rozstrzygnąć. Elektryk, który...

    Elektryka Instalacje i Sieci   20 Wrz 2022 13:26 Odpowiedzi: 42    Wyświetleń: 3549
  • Generator prądu z użyciem alternatora samochodowego

    Jestem raczej sceptykiem, ale patrzę na sprawy optymistycznie. Wiem, że energia jest zawsze w równowadze i fizyka nie jest całkowicie mi obca. Ciekawe czy jakbym był tym, który by opisał po raz pierwszy płyn łatwopalny i zapałki, i mówił, że z tego będzie ciepło tez by było wielu sceptyków, bo przecież suma temperatur płynu i zapałek nie jest wysoka....

    Na pograniczu nauki   29 Gru 2022 22:29 Odpowiedzi: 107    Wyświetleń: 13836
  • generator 1-30Hz z regulacją co 1 Hz

    Dziękuję za odpowiedzi; jeżeli chodzi o stronę "" to nie znalazłem tam nic ciekawego" uwaga o głośniczku jest cenna, tylko dla mnie jako dla laika bezuzyteczna. To znaczy owszem dzwięk nie powinien być pier... tylko jakimś delikatnym piskiem lub brzęczeniem strawnym dla ucha. Niestety nie potrafię "zastosować generatorka" itd. Akurat moje umiejętności...

    Projektowanie Układów   20 Sie 2004 19:24 Odpowiedzi: 17    Wyświetleń: 3696
  • Programowany generator na sprawozdanie z pracowni.

    Witam. Mam zadanie na sprawozdaniu z pracowni "Zaprojektowac programowany generator zadanej ilosci impulsow (mozna wykorzystac np. licznik, przerzytniki oraz bramki)" . Niestety nikt z klasy nie moze sobie z nim poradzic. Trzeba narysowac schemat, napisac opis dzialania i opcjonalnie narysowac przebiegi sygnalow w generatorze. Czy moglby mi ktos z tym...

    Początkujący Elektronicy   17 Mar 2006 12:28 Odpowiedzi: 2    Wyświetleń: 978
  • Generator 100 Hz o przebiegu trójkątnym w EWB

    Zobacz tu na klasyczny schemat takiego generatora. R2=2*R1 Lub ustawić potencjometr na ok. 30K PS nie znam sie na EWB

    Projektowanie Układów   27 Maj 2007 16:49 Odpowiedzi: 8    Wyświetleń: 1576
  • Generator wielokanałowy (4kanały) DDS (lub nie) do 0-200kHz

    Patrzałem na te generatorki na AVR, wyglada to nawet fajnie. Ale nie doczytałem czy można na tym zrobić np 3 kanały? bo czterech to chyba nie bardzo. Tak jako zupełne minimum to trzy kanały mogłyby mi wystarczyć, ale i tak docelowo zrobię te cztery. Na razie przeglądam dokumentacje do AD9959, trzeba jakość spróbować ugryźć ten temat :) niestety te...

    Mikrokontrolery   23 Kwi 2009 16:24 Odpowiedzi: 13    Wyświetleń: 5732
  • Generator 1750Hz na NE555 lub innych dostępnych elementach

    Driver- to racja że w starożytnych sellcallach w generatorze był obwód LC a często L miała ileśtam odczepów dla różnych tonów. Sęk w tym że tam musiała być zapewniona wysoka stabilność częstotliwości tonu. Taki generatorek jak ostatnio wyrysowałeś przy zastosowaniu klasycznych rezystorów i kondensatorów styrofleksowych powinien dać radę do 1750Hz RPT...

    Radiotechnika Serwis   03 Lis 2008 09:21 Odpowiedzi: 24    Wyświetleń: 10228
  • Generator przebiegu prostokątnego (różne poziomy napięć)

    :arrow: Mad Bekon chyba się nie zrozumieliśmy, - "w pętle sprzężenia zwrotnego" - na Twoim schemacie jest coś zupełnie innego. Jak dodasz jeszcze DAC to będziesz miał bardzo wszechstronny generator. Ps. do poczytania: ____________________________________ update Poznając szczegóły problemu i zamierzenia autora najlepszym rozwiązaniem będzie jednak klasyczne...

    Mikrokontrolery   20 Sty 2009 14:24 Odpowiedzi: 4    Wyświetleń: 1476
  • Utrudniony rozruch pilarki zasilanej z generatora

    A może by tak na czas rozruchu "zmostkować" zabezpieczenie i sprawdzić czy silnik nie zgaśnie (trochę ryzykowne)? Jak zgaśnie, to znakiem tego nawet silnik agregatu jest za słaby, nie tylko generator. Poza tym moc silnika w tej pilarce (zresztą każdego silnika elektrycznego) podawana jest jako moc mechaniczna na jego wale, a nie elektryczna pobierana...

    Elektro Maszyny i Urządzenia   06 Kwi 2009 14:15 Odpowiedzi: 5    Wyświetleń: 2111
  • Biegun neutralny w agregacie prądotwórczym (generatorze)

    kkas12 Proszę wrócić do tytułu wątku i pisać o agregacie w którym przewód neutralny występuje Fragment instrukcji agregatu • Ze względu na większą odporność na uszkodzenia mechaniczne powinien być używany kabel wielożyłowy (tzw. linka) w osłonie gumowej zgodny z normą IEC 245-4. • Generator spełnia wymagania zawarte w normach IEC 60364-4-41...

    Elektryka Instalacje i Sieci   07 Maj 2014 10:52 Odpowiedzi: 54    Wyświetleń: 19827
  • Rigol DG1032Z - Jak działa generator arbitralny

    Wiem ze male wykopaliska ;) Ale mam pytanie do NDN Warszawa i moze odpowiedz tez bedzie interesowac innych. Generalnie chodzi mi o to jak wyglada sprawa tego nieszczesnego prostokata (przepraszam ze mecze ale wiem ze w niektorych generatorach zamias prostokata mozna dostac ladny sinus i to niekoniecznie na maksymalnej czestotliwosci ;) ) w DG1022Z...

    Mikrokontrolery   01 Wrz 2017 08:41 Odpowiedzi: 24    Wyświetleń: 13872
  • Piki prądowe prądu generatora synchronicznego przy różnego rodzaju zwarcia.

    Też nie bardzo potrafię to uzasadnić. Tak jak pisałem wcześniej, do głowy przychodzi mi tylko jedno zjawisko gdzie prąd może rosnąć tj wybieg dużej ilości silników indukcyjnych - energia mas wirujących w tych silnikach niejako dodaje się wtedy do prądu zwarcia bo przechodzą one do stanu quasi-generatorowego dopóki nie wytracą energii mechanicznej Ale...

    Elektro Maszyny i Urządzenia   03 Cze 2019 21:43 Odpowiedzi: 5    Wyświetleń: 1245
  • Porównanie generatorów arbitralnych: Siglent SDG810 vs UNI-T UTG1010

    Nie płacą mi w gotroniku :) ale sam używam FY6800 i jest znośny. Do Signlenta czy Rigola jednak mu brakuje co najmniej jednej klasy... Taki Rigol DG811 jest pełnoprawnym przyrządem laboratoryjnym (mimo wyglądu) FY6xxx to mimo wszystko jednak niekalibrowana zabwka dla wymagającego amatora. Osobiście bym dopłacił do Rigola albo Siglenta tak jak piszesz....

    Warsztat co kupić?   24 Lis 2021 21:56 Odpowiedzi: 14    Wyświetleń: 780
  • Poszukiwany generator do pomiarów wzmacniaczy m.cz. i napraw radioodbiorników

    Ciężko będzie pogodzić generator do audio z generatorem do RF... do audio od biedy wystarczy "szkolny" G432. Ten DDS prawie się mieści w Twoim budżecie: ...a ten nawet całkiem się mieści: Dziękuję za linki, aczkolwiek oglądałem już te generatory kiedyś (jak pisałem trochę ich na portalach aukcyjnych przeglądnąłem ;) ), generalnie w tej chwili bardziej...

    Warsztat co kupić?   28 Mar 2023 11:15 Odpowiedzi: 38    Wyświetleń: 3291
  • Prosty generator warsztatowy m.cz.

    Takie cudo sobie wyskrobałem jak rozpoczełem pracować w ZURiT 1970r żeby ułatwić sobie serwisowanie sprzętu dodany jeszcze był multiwibrator (żeby " siał" od wcz/pcz/ mcz. ) jeszcze na tranzystorach germanowych. Generatory miałem opanowane, bo w 4 klasie technikum miałem wykonany nadajnik krótkofalowy na lampach o mocy 50 W- do testowania modulatora...

    DIY Poczekalnia   16 Paź 2023 07:45 Odpowiedzi: 36    Wyświetleń: 7977
  • Łączenie ogniw TEC lub TEG w układzie generatora prądu

    Cześć, Dysponuję trzema Peltierami TEC1-12707 firmy Stonecold, które mają temperaturę rating do aż 200°C oraz trzema no-name'ami o part number'ach SP1848 27145, co według internetów powinno być modułami TEG (op.temp. niestety tylko do 150°C). Przydałby mi się mały generator prądu na zimowe wyjazdy pod namiot, stąd też pojawił się pomysł ich wykorzystania....

    Energia Odnawialna   27 Paź 2023 13:18 Odpowiedzi: 4    Wyświetleń: 249
  • Silnik DC jako RLE a praca generatorowa

    Witam Zastanawiam sie tylko dlaczego chcesz zastosowac uklad napiecie/predkosc? Mozesz zrobic klasyczny regulator predkosci i to wystarczy. I nic nie trzeba filtrowac. Pozdrawiam

    Początkujący Elektronicy   02 Lip 2007 12:43 Odpowiedzi: 20    Wyświetleń: 2463
  • generator basu do 999zł ciag dalszy...

    Witam! Dzis zalozylismy sprzet ( Powerbass M-12 + Caropower 1502). Tak jak obiecalem napisze jak to gra: W miare glosno... tzn wystarczajaco jak na pierwszy sprzet :) Zwlaszcza dobrze wychodzi mu jednostajne buuuczenie... Po pierwsze sprzęt nie jest jeszcze wygrzany więc nie ma co spodziewać się cudów. Po drugie może obudowa nie jest dobrze spasowana......

    CarAudio   02 Wrz 2007 12:17 Odpowiedzi: 20    Wyświetleń: 1481
  • Praktyki w technikum - w której klasie?

    No właśnie mi też się ten program trochę nie podoba. układy prostownicze będą teraz w 2-giej klasie To tak ode mnie bo sam sie oszukałem, może komuś się sprzyda: 1kl. Moduł1(BHP): Bezpieczeństwo pracy z urządzeniami elektrycznymi Pierwsza pomoc w wypadkach przy pracy Ochrona przeciwpożarowa Organizacja komputerowego stanowiska pracy Moduł2(Prąd stały):...

    Nauka Szkolnictwo   08 Lis 2009 22:17 Odpowiedzi: 13    Wyświetleń: 32563
  • Generator Funkcyjny AVT-823

    Witam ponownie. Dokładnie tak wszelkiego rodzaju części i obudowy kupuje w tym sklepie. naprawdę mają ogromy asortyment i bardzo przystępne ceny. Jeśli chodzi o schematy płytek to na chwile obecną posiadam tylko wzór płytki wyświetlaczy. (Przebywam obecnie za granicą a reszta zostałą na koimpie w domu.) Natomiast co do zniekształcen to na pewno jakieś...

    DIY Konstrukcje   12 Sty 2014 16:23 Odpowiedzi: 57    Wyświetleń: 41550
  • Wzmacniacz klasy A na 2N3055

    Witam, Szczerze to jestem zaskoczony że temat zostanie tak mile przyjęty na forum. * Obudowa- w przyszłości myślę że zrobię coś z drewna,poprzednie wakacje spędziłem na stolarni, troszkę umiejętności mi zostało myślę ze warto byłoby je wykorzystać. *Pomiary, no niestety tutaj niczym nie mogę się pochwalić, elektroniką zajmuję się naprawdę od niedawna,...

    DIY Akustyka   28 Lut 2012 20:26 Odpowiedzi: 86    Wyświetleń: 60297
  • Generator Kelvina - elektryczność z wody

    Szczerze? Wolałbym kupić osła zaprząc w kierat i niech kręci darmowy prąd z klasycznego generatora... Wiem. Odpowiedź bez związku z tematem - ale jeśli mówimy o nowoczesnych generatorach mikrowatów... moja biosiłownia wygeneruje w porywach pół kilowata (nie wiem dokładnie ile daje koni mechanicznych jeden osioł :D ) Edit: znalazłem dane: żywy

    Na pograniczu nauki   30 Paź 2012 14:55 Odpowiedzi: 17    Wyświetleń: 9091
  • SSTC Klasa E 600kHz. Kłopot z ponownym uruchomieniem

    Ze zdjęć ciężko cokolwiek wywnioskować. Przy takim bałaganie ciężko nawet stwierdzić co jest gdzie i jak połączone. Uporządkuj to jakoś i wtedy zrób zdjęcie całości. Postaraj się jeszcze raz sprawdzić układ pod względem poprawności połączeń, a gdy to zrobisz i niczego nie znajdziesz, podłącz układ na "sucho" bez obciążenia IRF-a i jeśli masz oscyloskop...

    Początkujący Elektronicy   01 Mar 2013 14:49 Odpowiedzi: 3    Wyświetleń: 1617
  • Nie daj się złodziejowi, czyli klasyczny prosty alarm na układach CMOS

    Idea słuszna :). Klasyka, bramka Schmitta. Kiedyś robiłem na nich modulowane generatory akustyczne - CD40106. Sygnał mieszany był na CD4093. Wcześniej na TTL ale CMOS ma przewagę w zasilaniu. Syrenki miałem piezo 130 dB.

    DIY Konstrukcje   07 Cze 2015 20:33 Odpowiedzi: 49    Wyświetleń: 18063
  • Generator GFT-73 - naprawa/kalibracja

    Natomiast napięcia na wyjściu zasilania (+-25V) kompletnie wariują - czasem uzyskuję +24 -17 a czasem jeszcze inaczej. Masz przecież oscyloskop - zmierz tętnienia na wyjściach +25V i -25V. Mam jeszcze jedno noob-pytanie odnośnie samych pomiarów - z tyłu na obudowie jest takie gniazdo uziemienia Wygląda na to że generator jest w pierwszej klasie ochronności...

    Początkujący Naprawy   01 Lis 2017 11:09 Odpowiedzi: 15    Wyświetleń: 1752
  • Wybór analizatora widma klasy budżetowej

    Witam wszystkich. Zagwozdkę mam następującą: Stoję przed zakupem analizatora widma używanego, klasy budżetowej i na chwilę obecną do wyboru mam: Anritsu MS2661C (wersja bez dodatkowych bajerów) oraz poczciwego HP 8594A w wersji z generatorem śledzącym. Pasma analizatorów podobne. Krótkie porównanie (chętnie oczekuję wyprowadzenia mnie, w przypadku jakiegoś...

    Warsztat co kupić?   29 Kwi 2018 20:09 Odpowiedzi: 1    Wyświetleń: 603
  • Jak skompensować temperaturowo generator LC używając generatora odniesienia?

    Mam prośbę. Mógłbyś napisać jak miałoby wyglądać praktycznie to DLL w tym układzie, co miałby w takim układzie robić dokładnie mikroprocesor? Ustalasz sobie jakieś f_ref. Np. 10MHzm (strzelam, trzeba dać tyle ile ma generator dla temperatury np. 25*C i napięciu na diodzie pojemnościowej równej 1/2VCC (to drugie jest bardzo ważne)). f_wej to to co mierzysz...

    Projektowanie Układów   07 Gru 2018 21:04 Odpowiedzi: 11    Wyświetleń: 522
  • Wnętrze generatora funkcyjnego Siglent SDG1020 cz. II - panel

    W poprzednim materiale o wnętrzu 1. Jak zbudowany jest panel sterujący? Na panelu znajdziecie złącze USB, połączenie z wyświetlaczem TFT, oraz układy 74HC4051D, HC393, HC595, które zapewne ułatwiają obsługę klawiatury. Nie znajdziemy tam dodatkowych mikrokontrolerów. Nic dziwnego, że taśma połączeniowa przenosi wiele sygnałów. Widać, że sterowanie...

    Wnętrza urządzeń   13 Lis 2020 15:30 Odpowiedzi: 14    Wyświetleń: 5469
  • Uziemienie przenośnego generatora 4 kW - czy wystarczy pręt wbity w ziemię?

    Niestety nie rozwiązało to problemu. Nie bardzo wiem co w takim razie może być nie tak. Zauważyłem, że dla pieca jest obojętne na którym bolcu ma L czy N. Na to pytanie najszybciej odpowie dział techniczny pieca. Może coś w sinusoidzie piecu nie odpowiada. Dodano po 56 Proste pytanie. Biorę przedłużacz z listwą (parę gniazd), wtykam wtyczki od lodówki,...

    Systemy Grzewcze Serwis   23 Sty 2022 11:55 Odpowiedzi: 101    Wyświetleń: 20025
  • Obudowa urządzenia pomiarowego: częstościomierz 50MHz, generator 1MHz, 87,5-108MHz - co dodać?

    Jak coś jest do wszystkiego to jest do niczego. Tak tak, dlatego oscyloskopy coraz częściej mają wbudowane generatory, analizatory protokołów, rysują wykresy Bodego i tak dalej. Nie przesadzajmy. Najlepiej jak każde z tych sprzętów będzie miało swoje własne obudowy, zasilania i tak dalej. Weź pod uwagę, to że to wszystko może się fajnie sprzedać i...

    Warsztat elektronika   20 Paź 2022 09:17 Odpowiedzi: 4    Wyświetleń: 324
  • Platforma FPGA średniej klasy Lattice Avant - do 500 000 komórek, SERDES 25 Gb/s i PCIe Gen4

    Lattice Avant to nowa niskoenergetyczna i niewielka platforma układów programowalnych (FPGA) średniej klasy. Produkowane są w 16 nm procesie FinFET i wyposażone w SERDES 25 Gb/s, utwardzony sprzętowy PCI Express, zewnętrzne interfejsy PHY dla pamięci, dużą liczbę rdzeni DSP i silnik akceleracji algorytmów bezpieczeństwa. Firma Lattice Semi jest najbardziej...

    Newsy   15 Gru 2022 07:47 Odpowiedzi: 0    Wyświetleń: 360
  • Generator FY6900 60Mhz i transformator: bezpieczne sterowanie urządzeniami, karta UCA202

    Porządnie zrobiony generator ma na wyjściu wzmacniacz o wydajności prądowej rzędu 0,2A i rezystor dopasowujący 50R także zwarcie wytrzyma, ale lepiej żeby nie było długotrwałe. (nie wiem co twój generator ma w środku) ja uszkodziłem wzmacniacz w generatorze robiąc zwarcie wyjścia z zasilaczem 50V - niezawodny sposób ;) Karta dźwiękowa będzie miała na...

    Początkujący Elektronicy   26 Mar 2023 20:10 Odpowiedzi: 3    Wyświetleń: 258
  • Generator Meissnera na zajęcia, obliczenia.

    Witam, mój nauczyciel z układów analogowych ostatnio wkurzył się na klasę i kazał samemu opracować Generator Meissnera. Na stronie Znalazłem opis. Mam jednak pytanie co do indukcyjności wzajemnej w tym generatorze oraz współczynniku indukcyjności wzajemnej

    Początkujący Elektronicy   27 Lis 2007 23:14 Odpowiedzi: 5    Wyświetleń: 6493
  • jaki Clock Generators (PLL) w asrock p4v88

    Witam jeśli możesz rozebrać komputer ew. masz zdjęcie dość duże tej płytki to PLL był zawsze koło kwarcu 14.31818 w komputerach klasy p mmx k6-2 bylo latwiej znaleźć bo obok były zworki , jeszcze niedawno widzałem takie same rozwiązania czyli osobny układ prostokątny koło tego kwarcu (np plytka pod procesor 2.0Ghz ) chyba się nic nie zmieniło więc po...

    Komputery Eksploatacja   27 Lip 2006 13:37 Odpowiedzi: 10    Wyświetleń: 2546
  • Stereofoniczny wzmacniacz klasy D TDA7490,TDA7449 i AT89S52

    Wzmacniacz klasy D charakteryzuje się tym, że ma mniejsze zniekształcenia niż wzmacniacze analogowe. Wydzielają przede wszystkim bardzo mało ciepła, ponieważ tranzystory stopnia końcowego są albo w pełni otwarte albo w pełni zamknięte (nie ma stanów pośrednich). Jedyne momenty gdy się grzeją to tylko wtedy gdy są przełączane z otwartego na zamknięty...

    Artykuły   18 Paź 2008 20:50 Odpowiedzi: 45    Wyświetleń: 17181
  • Generator WN, sterowanie tranzystorami pod 230v

    Cewka ma indukcyjność pierwotnego kilka mH (eróżnie podają 2-8mH, trzeba by zmierzyć) więc przy 320V można ją załączyć tylko na kilka, do kilkudziesięciu µs (tak żeby prąd nie przekroczył kilku A) wyładowanie będzie silniejsze niż w instalacji 12V, bo cewka nie będzie działać tylko jako magazyn energii, ale również jak transformator, coś jak połączewnie...

    Początkujący Elektronicy   04 Lip 2014 15:30 Odpowiedzi: 16    Wyświetleń: 3360
  • Generator wysokiego napięcia. na targi innowacji.

    Dokonując wyboru o układach typu tranzystor bipolarny + dwa rezystory zapomnij, mają żałosną sprawność i przenoszą małą moc. Wykorzystaj ten układ. Charakteryzuje się prostą budową, niezawodnością, niezłą sprawnością i zdolnością przenoszenia dużej (kilkaset watów) mocy. Jakbyś miał dwa identyczne trafopowielacze i chciał jeszcze więcej mocy, możesz...

    Początkujący Naprawy   07 Paź 2015 21:03 Odpowiedzi: 9    Wyświetleń: 1257
  • Generatorek wiatrowy : konstrukcja i projektowanie

    Zapomnij mosfety. Uklad mozna uproscic stosujac klasyczny "OR" na dwoch tranzystorach BC54x i przekazniku. Wszystkie kolektory zapinasz na przekaznik, a ten na +Baterii. Jeden tranzystor, Ts1, dostaje plus na baze z +Baterii przez Rb1 i manualny przycisk "START". Drugi tranzystor, Ts2, dostaje plus z D+ na baze przez Rb2 polaczony w szereg z dioda Zenera...

    Początkujący Elektronicy   15 Wrz 2009 12:46 Odpowiedzi: 33    Wyświetleń: 4976
  • Generatorek pradu na calym kole rowerowym - magnesy - kto pomoze ?

    Twój, Koleżko, rysunek jest niestety koszmarną bzdurą jeżeli chodzi o zasadę generowania energii elektrycznej.. Jak widzisz przebieg linii sil pola magnetycznego w stosunku do cewek ? Pomijam już inne sprawy, jak odleglość magnesów od tych cewek. Najwyższą sprawność ma prądnica (dynamo) w piaście, ze względu na brak oporów tarcia rolki prądnicy o oponę...

    Początkujący Elektronicy   28 Maj 2016 23:40 Odpowiedzi: 25    Wyświetleń: 3357
  • Generatorek DDS, moja wersja obudowy chińskiego modułu.

    przewody fazowy i neutralny zaciskasz przez aluminium? nie zapewniasz żadnej klasy ochronności urządzenie potencjalnie może sie porazić prądem. Dla dobra swojego i innych zmień przewód zasilajacy na 3-y żyłowy z przewodem ochronnym PE i obudowę przykręć do tego przewodu.

    DIY Konstrukcje   17 Lut 2021 16:48 Odpowiedzi: 34    Wyświetleń: 8472
  • Nietypowa hybryda - lampa + klasa D

    Straty diody za to będą mniejsze, zawsze mały plus dla niezawodności. Jeszcze raz, dioda jest przeznaczona dla większych prądów. Cewka przetwornicy też. Co ma do tego niezawodność? Analogiczne przetwornice w obwodach pośrednich falowników pracują latami na dodatek w trudniejszych tempraturowo warunkach. Mamy 24V zasilanie, więc większość małych lamp...

    DIY Konstrukcje   07 Lis 2021 20:31 Odpowiedzi: 60    Wyświetleń: 5313
  • Klasyka z odzysku - 8032AH, EF9345P oraz eprom 2764A

    Witam. Dawno temu (jakies dwa lata temu) umiesciłem na elektrodzie post dotyczacy pewnego francuskiego wynalazku jakim było urzadzenie RTIC Minitel 2 (w latach 80 coś na kształt 'pseudo' internetu w sieci telekomunikacyjnej). Niestety sprzet był zepsuty i nie byłem w stanie go naprawić. Do dzisiejszych czasów zachowało się z nigo jedynie kilka częsci,...

    Mikrokontrolery   09 Sie 2008 23:51 Odpowiedzi: 0    Wyświetleń: 980
  • TV z 1973 r (klasyk) ITT Schaub-Lorentz Studio1702 - Nagrzewa się Lampa PY88

    Witam Koledzy pomóżcie mi wskrzesić klasyka - mianowicie telewizor ITT Schaub-Lorentz Studio 1702 . Sprzęt z 1973 r ale jak na tamte czasy dosyć solidnie wykonany i zaawansowany bo jest tylko 5 lamp Dzisiaj chciałem sprawdzić czy działa,jeszcze kilka lat temu był normalnie był użytkowany na działce . Dzisiaj po próbie włączenia cisza. Po chwili zaczeły...

    Elektronika Retro   30 Lip 2019 13:52 Odpowiedzi: 7    Wyświetleń: 435
  • Regulacja częstotliwości i długości impulsów w generatore dla 10 diod LED o obciążeniu 160-200mA

    Używając prostego układu na klasycznym NE555 masz do 200mA na wyjściu. A schemat banalny. Tyle, że migają wszystkie diody naraz, albo migają naprzemiennie dwie grupy diod LED.

    Początkujący Elektronicy   19 Lis 2020 09:54 Odpowiedzi: 9    Wyświetleń: 384
  • Klasyka kontra nowoczesność. Cz. 1 transformatory

    Klasyka kontra nowoczesność Nie wiem czym się kierowałeś dając taki tytuł. Oba rozwiązania znane i powszechne były już w latach dziewięćdziesiątych XX w. Biorąc za wyznacznik nowoczesności choćby sprawność to pozostają daleko w tyle za współczesnymi zasilaczami impulsowymi. Miałem kiedyś podobny problem - przetwornica 12V i niby 50W ale po podłączeniu...

    Artykuły   09 Mar 2023 22:16 Odpowiedzi: 300    Wyświetleń: 11220
  • Usterki w chińskich generatorach myjek ultradźwiękowych - przyczyny i porady naprawcze

    To jest falownik? Gdzie ma regulację częstotliwości? Falownik, w sensie tego jak w książkach klasyfikują układy przetwarzające napięcie stałe, na przemienne, a nie w sensie tego co leży na półce w sklepie dla automatyków :) Trzeba było zapytać o FOC i Profibus ;)

    Początkujący Elektronicy   19 Lis 2022 00:48 Odpowiedzi: 102    Wyświetleń: 3456
  • Generator kwarcowy, owertonowy

    Mam takie zapytanie. Potrzebuje wykonać generator na częstotliwość około 43Mhz, generator musi posiadać możliwość drobnej korekty częstotliwości czyli nie mogę zastosowac typowego generatora gotowego. Ze wzgledu na wymaganą stabilność wystarczy że bedzie to kwarcowy. Dla tej częstotliwości pozostaje tylko generator owertonowy. W Świecie Radio 07/2002...

    Radiotechnika Serwis   27 Sty 2004 15:29 Odpowiedzi: 18    Wyświetleń: 5924
  • generator zadanej liczby impulsów 1 : 255

    generator zadanej liczby impulsów 1 : 255 niewiem wogole co to jest, a mam wykonac to.. założenia projektu, płytka, układ..itp itd,, :( nic nieczaje z tego Od początku: Więc generator taki ma za zadanie wytworzenie (wygenerowanie) ustalonej wcześniej liczby impulsów, czyli np. wprowadzasz z klawiaturki (albo w inny sposób) zadaną liczbę impulsów np....

    Początkujący Elektronicy   26 Sty 2006 00:37 Odpowiedzi: 20    Wyświetleń: 4466
  • Generator z mostkiem Wiena przestrajany cyfrowo

    Myślisz o użyciu kilku potencjometrów o różnych opornościach? Ale i tak pewnie przyda się zmodyfikować układ mostka Wiena tak, by jeden koniec każdego potencjometru był na masie; w "klasycznym" układzie tak nie jest: jest wzmacniacz o wzmocnieniu napięciowym 3x, od jego wyjścia przez kondensator i opornik szeregowo do wejścia, i to wejście przez kondensator...

    Projektowanie Układów   27 Maj 2005 09:39 Odpowiedzi: 7    Wyświetleń: 3703
  • Generator synchroniczny bezszczotkowy

    W obecnych konstrukcjach agregatów prądotwórczych małej i średniej mocy (jedno i trójfazowych) są stosowane bezszczotkowe generatory synchroniczne. Czy ktoś ma opis czegoś takiego, bo żadna wyszukiwarka nie znalazła? Czy w wirniku są zabudowane magnesy stałe (neodymowe)? Jak jest realizowana regulacja napięcia na stałym poziomie (na przykład 230V) w...

    Elektro Maszyny i Urządzenia   13 Sie 2005 19:14 Odpowiedzi: 4    Wyświetleń: 9366
  • Jak wykonać najprostrzy generator sinusoidalny

    A co myślicie o takim urządzeniu : Zmontowałem to z byle czego i nawet działa co w moim przypadku jest sukcesem, ba nawet sam płytke zaprojektowałem :D . 1,4kHz wyszło. Klasyczny generator z przesuwnikiem fazy, ale nie jest najprostszy - elementów na sztuki ma więcej niż dowolny generator LC. :)

    Początkujący Elektronicy   06 Wrz 2006 21:14 Odpowiedzi: 13    Wyświetleń: 7612
  • Echo taśmowe. Jak powinien wyglądać schemat generatora w. cz. prądu podkładu?

    w symulacji odłączenie cewki (głowicy kasującej) powoduje że generator przestaje generować, w rzeczywistym układzie nic się nie zmienia bo zmiennego jak nie było tak niema..:((( Nie - masz jedną cewkę, bez odczepu, kondensatory do masy po obu stronach (klasyczny generator Hartleya, tak wygląda np. heterodyna w Teslach Talizman). No i oczywiście opornik...

    Elektronika Retro   21 Wrz 2006 11:37 Odpowiedzi: 25    Wyświetleń: 4445
  • Dlaczego lepiej stosować powielacz niż generatory b.d. częst

    Odpowiedź jest prozaicznie prosta. Ogólnie masz rację i zarazem nie - albowiem szkodliwe są harmoniczne powstające w stopniach wzmacniających mocy (szczególnie w stopniu końcowym), w przypadku ich niewłaściwego dopasowania, lub przesterowania (wzbudzenia) jeszcze powstają częstotliwości pasożytnicze i inne eterowe "śmiecie". Ogólnie powielacze częstotliwości...

    Początkujący Elektronicy   03 Paź 2006 16:58 Odpowiedzi: 1    Wyświetleń: 1244
  • podwójny generator na dwóch kostkach ne555

    Można zrobić jeden generator, do tego przerzutnik D i bramki do rozdzielania impulsow... można zrobić generator na HC123 i z niego wyzwalać ne555 (może ma sens ne556 zamiast dwu ne555). Aha, rozumiem że to ma być tak, że co 200us na zmianę ma być impuls na jednym z dwu wyjść? Uzyskanie krótkiego stanu "1" i długiego "0" na ne555 wymaga trochę niestandardowego...

    Projektowanie Układów   03 Maj 2007 20:17 Odpowiedzi: 21    Wyświetleń: 4075
  • generator colpittsa 100 MHz

    Witam Witam, mam schemat laboratoryjnego generatora colpittsa (dołączam plik). Chciałbym przerobić go na 100 MHz i teraz pytanie, da się to zrobić z tym schematem? Zmiana C1, C2, L na odpowiednie dla częstotliwości 100 MHz nie pomaga i generator przestaje działać. Wszystko symuluje w programie PSpice. Dzięki za wszystkie podpowiedzi i wszelką pomoc,...

    Początkujący Elektronicy   16 Lis 2007 10:07 Odpowiedzi: 4    Wyświetleń: 5241
  • Generator o częstotliwości około 2Hz.

    jak to ma byc okolo to klasyka czyli 555

    Projektowanie Układów   21 Maj 2008 00:15 Odpowiedzi: 6    Wyświetleń: 2243
  • Generator VCO.

    Wie ktoś może w jaki sposób podłączyć źródło prądowe do przerzutnika schmitta? Co to jest za napięcie Ua podawane na bazy tranzystorów z tego źródła ?? A wiec tak ... Problem z tym podrecznikiem jest, ze poprawne koncepty sa w nim pomieszane z ukladami realizowalnymi wylacznie w technologii scalonej, ale nawet tutaj niektore z nich sa koncepcyjnie...

    Nauka Elektroniki, Teoria i Laborki   06 Kwi 2009 07:06 Odpowiedzi: 28    Wyświetleń: 8513
  • Gaszenie impulsów samoindukcji - budowa generatora WN.

    Przecież to jest klasyka układowa. Odnośnie wartości elementów, wystarzy zaglądnąć do schematu przetwornicy dowolnego telewizora, gdzie przetwornica jest oparta o układ TDA4605 i tranzystor polowy.

    Projektowanie Układów   16 Lut 2009 19:26 Odpowiedzi: 8    Wyświetleń: 4255
  • Czy częstotliwość z generatora można powielić?

    Powielić można. Np: trzeba wykorzystać do tego celu PLL lub klasyczny powielacz częstotliwości. i inne powielacze np: z zastosowaniem diody waraktorowej

    Początkujący Elektronicy   14 Maj 2009 17:49 Odpowiedzi: 8    Wyświetleń: 1273
  • Ogranicznik amplitudy w generatorze z mostkiem wiena

    W Twoich obliczenia NIE WYSTĘPUJE oporność diod D5 i D6, a właśnie ta oporność daje ograniczenie amplitudy. Jeśli by pominąć oporność diod (czyli dla amplitudy takiej, że spadek napięcia na R3 jest mniejszy, niż ok. 0,6V), to w pętli ujemnego sprzężenia zwrotnego masz 35k/10k, czyli wmocnienie ok. 3,5, to jest nieco więcej, niż trzeba dla wzbudzenia...

    Początkujący Elektronicy   21 Paź 2009 00:02 Odpowiedzi: 4    Wyświetleń: 3424
  • Mały. Robot klasy NanoSumo

    Mały Najmniejszy robot klasy Nanosumo - Mały, został zaprojektowany z myślą o złamaniu „magicznej bariery” 25 mm. Założeniem projektu było zbudowanie robota o wymiarach mniejszych niż 25 mm x 25 mm x 25 mm. Dzięki zastosowaniu miniaturowych silników, ogniwa zasilającego i czujników udało się osiągnąć wymiary 15 mm x 15 mm x 15 mm. Zdjęcie...

    DIY Konstrukcje   18 Maj 2011 19:45 Odpowiedzi: 13    Wyświetleń: 22721
  • Generator WN - Moduł z rentgena

    Witam, jestem raczej początkujący jeżeli chodzi o wysokie napięcie, skonstruowałem podstawowy generator na bazie trafa TV. W tym momencie posiadam moduł zasilania z aparatu rentgenowskiego taki jak na zdjęciach. Układ jest prosty, składa się z dwóch transformatorów i klasycznych powielaczy napięcia na bazie diod i kondensatorów. Trochę danych: - uzwojenia...

    Początkujący Elektronicy   09 Sty 2012 16:14 Odpowiedzi: 6    Wyświetleń: 2345
  • Generator zimnej plazmy pod ciśnieniem atmosferycznym.

    Czy muszę stosować elektroniczną tesle? Mam dostępną zwykłą niedużą i mógłbym może jej użyć? Tu IMHO potrzeba pracy w CW (trybie ciągłym), czego klasyk nie zapewnia.

    DIY Konstrukcje   05 Wrz 2019 19:35 Odpowiedzi: 47    Wyświetleń: 24198
  • Termistor do generatora RC

    To jest "klasyczny" generator z mostkiem Wiena. Stabilizacja drgań odbywa się dzięki obecności elementu nieliniowego w gałęzi ujemnego sprzężenia zwrotnego (tu są tylko 2 oporności). Jest możliwe kilka rozwiązań: - z żarówką (żarówka jest w "dolnej" części gałęzi, zwiększenie amplitudy drgań powoduje zwiększenie oporności włókna). Tu wykorzystuje się...

    Warsztat elektronika   26 Sie 2016 22:42 Odpowiedzi: 34    Wyświetleń: 3537
  • Ethicon Rdz 11 / ESZ Generator Energii - cena: 9000 Euro

    Ethicon Rdz 11 / ESZ Generator Energii - cena: 9000 Euro Nowy Ethicon Endo-Surgery Generator łączy technologię, wiele funkcji i prosty w obsłudze ekran dotykowy w jednym, łatwym w obsłudze platformy technologicznej unit.The obsługuje aktualnych i przyszłych urządzeń HARMONIC®andENSEAL®. Cechy i zalety Ekran dotykowy dla łatwej konfiguracji...

    Sprzęt Medyczny   31 Lip 2015 23:20 Odpowiedzi: 0    Wyświetleń: 2103
  • Generator Przebiegu prostokątnego Tani i prosty

    Od razu nasuwa się NE555 (klasyk, nie wymaga zbyt wielu peryferiów). Ale może wolałbyś coś bardziej elastycznego, coś czym mógłbyś bardziej finezyjnie sterować (komputerem, potencjometrami, enkoderami, czujnikami...): 1) 2) Wiem że to przerost formy nad treścią - ale masz wszystko gotowe, polutowane, dające mnóstwo możliwości i niedrogie.

    Warsztat co kupić?   15 Sty 2016 21:45 Odpowiedzi: 3    Wyświetleń: 1104
  • Zaprojektowanie generatora z mostkiem Wiena-Robinsona uA741

    Witam, Cześć, Potrzebuje pomocy w zaprojektowaniu generatora, temat jaki mam to : "Zaprojektować generator drgań sinusoidalnych z mostkiem Wiena-Robsona na WO uA741 częstotliwość pracy f=25 kHz +-3%. Moje rozwiazanie Dzięki za pomoc Pozdrawiam jeśli w pętli ujemnego sprzężenia zwrotnego masz stałą wartość współczynnika sprzężenia zwrotnego - linowe...

    Nauka Elektroniki, Teoria i Laborki   07 Maj 2016 21:10 Odpowiedzi: 1    Wyświetleń: 1287
  • Szukam schematu generatora sinusa na 50Hz

    Schematów jest mnóstwo ... Pytanie: do czego to ma być użyte? Jakie ma dawać napięcie, moc, zniekształcenia ... Najprościej (bez elektroniki): transformator sieciowy + bierny filtr dolnoprzepustowy. Zaleta: można obciążyś spora mocą, a częstotliwość jest stabilna i dokładna (lepsza, niż 10e-6, bo zegar "napędzany" siecią ma odchyłki w sekundach na rok)....

    Początkujący Elektronicy   26 Wrz 2016 07:46 Odpowiedzi: 13    Wyświetleń: 2448
  • Generator GZŁ-1 nie działa - generator nie załącza się, przepala bezpiecznik

    Dzięki jutro z rana się tym zajmę i zobaczymy co z tego będzie Bardzo ważne! Doczytałem dokumentację (zresztą na schemacie jest jak byk) Ten generator ma zasilanie 42V a nie 230V! Tak że nie podłączaj go już do sieci w tym stanie, bo zaszkodzisz. O ile już mu nie zaszkodziły Twoje próby. Ten konkretny model to jest jakiś "szkolny" generator i widocznie...

    Początkujący Elektronicy   10 Gru 2016 02:13 Odpowiedzi: 4    Wyświetleń: 900
  • Generator prądu dzwonienia - Budowa generatora prądu dzwonienia

    w podobnym przypadku starałbym się ominąć konieczność zasilania z 12V. Układ ogólnie małej mocy więc do zasilania mały kilkuwatowy transformator 230/2x25V Jak już masz takie trafo małej mocy, to nie lepiej zrobić generator sinusa + najtańszy wzmacniacz audio (wszystko z 12V) i dać to na wtórne trafa? Dla mnie łatwiej zrobić na mikrokontrolerze. Ponadto...

    Telefony Stacjonarne Serwis   21 Lut 2017 12:53 Odpowiedzi: 39    Wyświetleń: 2973
  • Czy generator zegara może pełnić rolę kompensatora szumu fazowego

    Celem poniższego artykułu, jest pokazanie, że stwierdzenie, iż generator zegarowy nie jest w stanie czyścić sygnałów z jitteru (szumu fazowego) jest popularnym stereotypem. Nie chcemy go tutaj powielać, dlatego przedstawimy tutaj różnice pomiędzy typowymi generatorami przebiegów taktujących a układami do kompensacji jitteru. Przyjrzymy się bliżej także...

    Artykuły   25 Mar 2017 21:33 Odpowiedzi: 0    Wyświetleń: 1728
  • GENERATOR SIGLENT SDG1025 FAIL. Nieprawidłowe działanie.

    Da się takie dokładności uzyskać w analogowym generatorze. A tak wygląda w bardzo starym generatorze z syntezą, podczas zmiany 1kHz o 1Hz pojawia się przerwa w sygnale 0V i parę pasożytniczych sygnałów sinusoidalnych, zanim złapie pętla. Z syntezą się da, ale to już nie jest taki 100% analog, kawałek cyfrówki tam jest. Miałem na myśli "klasyczne" analogowe...

    Warsztat elektronika   13 Sie 2017 09:42 Odpowiedzi: 29    Wyświetleń: 2535
  • Zniekształcenia i brak wzmocnienia w wzmacniaczu Klasa B w PSpice

    A sygnał jest podawany względem masy, co ustawia Uwy (stałe napięcie na wyjściu) równe zero (potencjał masy), lub bliskie jemu. Tak więc dolna połówka napięcia zmiennego na wyjściu musiałaby być ujemna wgl. masy - to niemożliwe i jest ona obcinana na pot zbliżonym do masy. Poza tym WO - jaki typ? - czy może pracować z napięciami Uwe/Uwy zbliżonymi do...

    Początkujący Elektronicy   06 Sty 2020 22:54 Odpowiedzi: 7    Wyświetleń: 1359
  • Szukam generatora wysokiego napięcia 15kV do eksperymentów szkolnych z prądem stałym

    Szukam generatora wysokiego napięcia do prowadzenia eksperymentów w szkole. W szkole to używano: - pałeczki ebonitowej i futra (wełny) - maszyny elektrostatycznej - generatora Van de Graaffa - cewki Ruhmkorffa Zasilacz od neonu to raczej niebezpieczne urządzenie. W szkole bym się bał uzywać. Pierwsze trzy z listy to raczej elektryczność statyczna ale...

    Początkujący Elektronicy   28 Lip 2022 08:43 Odpowiedzi: 11    Wyświetleń: 321
  • Generator OSD VGA i synchronizacji, od pomysłu do urządzenia

    Z tym zapamiętywaniem muszę rozkminić temat bo EEPROMu nie ma Jest emulacja biblioteka eeprom.h Podajesz Waść rozmiar eepromu jaki chcesz mieć a potem to już klasyka eeprom.read(),eeprom.write() i jedna różnica - eeprom.commit() której trzeba użyć by to co zostało wrzucone w eeprom.write() trafiło do pamięci nieulotnej. [syntax=arduino] #include <EEPROM.h>...

    Artykuły   18 Lut 2024 10:50 Odpowiedzi: 48    Wyświetleń: 3486
  • Odkrycie: Nierotacyjny Elektromagnetyczny Generator Energii (AISEG)

    Nawet hipotetycznie czy praktycznie działające PM nie rozwiąże ww. przykładów, tj: ropa won, paliwa kopalne won, wiatraczki, fotowoltaika won, bezrobocie światowe Tu potrzebne jest urządzenie kreujące energię z niczego i to z dużym naddatkiem - tak jakby co... Osiągnięcie sprawności równej 1 graniczy z cudem, a tu chłopaki z Korei Płd. się chwalą, że...

    Na pograniczu nauki   02 Sty 2024 18:54 Odpowiedzi: 22    Wyświetleń: 1302
  • Jak wzmocnić sygnał UKF z generatora ?

    Problem w tym ,że spodziewasz się po separatorze znaczącego wzmocnienia ,gdy tymczasem nie taka jest jego rola. Te dwa tranzystorki za generatorem ,mają za zadanie jak najbardziej uniezależnić stabilność generatora od wpływu zmiennych obciążeń na wyjściu i zmian spowodowanych strojeniem stopni. Faktem jest natomiast ,że jeżeli masz pętlę PLL ,to można...

    Radiotechnika Serwis   12 Lis 2003 19:32 Odpowiedzi: 1    Wyświetleń: 2057