REKLAMA

generator moment

Znaleziono około 2275 wyników dla: generator moment
  • Generator opcjonalny Megawave Atmega

    Na wstępie chciałbym przybliżyć, skąd taka a nie inna nazwa nadana przeze mnie temu projektowi. Prace rozpoczęły się w momencie zapotrzebowania na minimum dwa zsynchronizowane generatory małej częstotliwości. Ponieważ zakres przestrajania jaki mnie zadowalał ograniczał się do 100Hz przy rozdzielczości 0,01Hz wybór padł na zalegające w szufladzie ATMEGA....

    DIY Konstrukcje   08 Wrz 2012 12:24 Odpowiedzi: 3    Wyświetleń: 11604
  • Generator iskry Ardo - jak podłączyć

    No nie zupełnie jest tak jak pisze davson1978 (tak miała np. Amica)Twój stary generator pracował inaczej niż ten ,który zamierzasz zainstalować , iskra była pojedyńcza w momencie puszczania przycisku (rozładowanie kondensatora) Instalujac nowy generator w przełączniku wykorzystasz tylko dwa styki oznaczone jako 1 i 2 (przewód biały i czerwony) a sam...

    AGD Kuchnie   16 Lut 2011 17:43 Odpowiedzi: 3    Wyświetleń: 19214
  • REKLAMA
  • Schemat generatora generatora prądu do przydomowej elektrowni wiatrowej.

    Trszkę o tym dykutujemy tu (:D troszkę=98 stron) - jest sporo schematów i linków. Samodzielna budowa to jak dla mnie 4 rozwiązania (przy tej mocy): 1. Alternator samochodowy - potrzebuje sporych obrotów (minimum 2000/minutę) - stąd konieczność budowy dwustopniowej przekładni - duży moment rozruchowy. Pytanie brzmi: co zrobić z pądem 50A (przy 24V) 2....

    Projektowanie Układów   05 Kwi 2007 16:35 Odpowiedzi: 5    Wyświetleń: 11063
  • generator liniowy na magnesach stałych

    Generator liniowy w uproszczeniu to nic innego jak prądnica o promieniu równym nieskończoności. Moim zdaniem łatwiej wykorzystywać ruch obrotowy niż prostoliniowy zwrotny do napędu takich generatorów. Odpadają problemy z momentem bezwładności elementu ruchomego podczas zmiany kierunku ruchu (prędkość spada do 0 i rośnie od zera na określonej drodze)....

    Na pograniczu nauki   19 Gru 2012 12:49 Odpowiedzi: 9    Wyświetleń: 6069
  • REKLAMA
  • Generator arbitralny Rigol DG922 ProSponsorowany

    Generator arbitralny Rigol DG922 Pro Weż udział w konkursie NDN     "Oscyloskop bez granic" do 31 Marca 2024 Do wygrania atrakcyjne urządzenia ! Kliknij tu i dowiedz się więcej Rigol w natarciu. Po oscyloskopowych nowościach w postaci rodzin DHO800 i DHO1000 przyszedł czas na generatory. Atak na konkurencję jest mocny, bo parametry opisanego w artykule...

    Artykuły   12 Mar 2024 21:23 Odpowiedzi: 2    Wyświetleń: 1617
  • Ozonator samochodowy na bazie chińskiego generatora

    Witam. Przed rozpoczęciem opisywania mojej konstrukcji chciałbym ostrzec: OZON W ZBYT DUŻYM STĘŻENIU JEST SZKODLIWY DLA ZDROWIA I MOŻE ZAGRAŻAĆ ŻYCIU ! Wszelkie próby sterowania należy przeprowadzać przy odłączonym generatorze. Uruchomienie próbne przeprowadzać w przewiewnym miejscu i na krótki okres. Po użyciu dokładnie przewietrzyć pomieszczenie/auto....

    DIY Konstrukcje   18 Lis 2020 04:11 Odpowiedzi: 35    Wyświetleń: 16497
  • generator 10kW co z nim zrobic?

    no to krucho ze mna... bo ja to raczej myslalem o malym ukladzie 3 paneli photovoltanicznych 3x220W w polaczeniu z akumulatorami dla wyrownania poziomu energii. to moglo by zasilac silnik o minimum 3000 obr/min ale z przlozeniem na male obroty na genka tak aby silnik napedzajacy mial lekko. Niestety taka koncepcja jest bez sensu. Ogniwa musiały by...

    Elektro Maszyny i Urządzenia   12 Maj 2015 09:27 Odpowiedzi: 8    Wyświetleń: 6239
  • Momentomierz - brak wskazania obrotów wału na wyświetlaczu

    Jest to momentomierz typ TNP6 produkowany kiedyś w WSM Gdynia, montowany na jednostkach pływających. Źródłem sygnału jest fotooptyczna głowica generująca sygnał zbliżony do prostokątnego. Stosowana 25 lat temu głowica zawierała diodę świecącą IR oraz fototranzystor. Sygnał powstaje w trakcie przechodzenia w jej szczelinie zębów i wycięć dwóch pierścieni...

    Inne Serwis   07 Lut 2012 17:39 Odpowiedzi: 7    Wyświetleń: 1854
  • Rzadko zadawane pytania: kieszonkowy generator szumu białego

    Pytanie: Jak zmierzyć widmo jakiegoś układu dla wszystkich częstotliwości naraz? Odpowiedź: Szum zazwyczaj jest wrogiem elektronika, a każdy szanujący się projektant stara się zredukować jego poziom w układzie do minimum. Jednakże są pewne sytuacje, gdy dobrze scharakteryzowane źródło szumu, bez żadnych innych dodanych sygnałów, może być bardzo pożądane....

    Artykuły   26 Sty 2019 17:45 Odpowiedzi: 13    Wyświetleń: 5106
  • REKLAMA
  • Dane znamionowe rzeczywistego generatora synchronicznego

    Dzień dobry. przeprowadzenie badań dysertacyjnych jest konieczne oprócz parametrów nominalnych generatorów. powiedz gdzie znajdę dane referencyjne (tj. nominalne parametry turbogeneratorów) typu 50WT20H-100 i 50WX21Z-092 200-230 MW?? a mianowicie xd′′ – Nadprzejściowa rezystancja indukcyjna uzwojenia twornika wzdłuż osi podłużnej....

    Elektro Maszyny i Urządzenia   27 Maj 2022 10:30 Odpowiedzi: 5    Wyświetleń: 951
  • Generator na NE555 niedziala.

    Witam.Prawdopodobne przyczyny niedziałania układu: 1.Zdławienie generatora poprzez dodanie zbyt duzych oporników,co powoduje,ze generator nie generuje nic, 2.Przeciążenie trafa,co powoduje to charakterystyczne buczenie, 3.Zbyt mała moc trafa, 4.Brak wystarczajacego prądu i napięcia niezbędnego do otwarcia tranzystora, 5.Brak przebiegu wyjściowego na...

    Projektowanie Układów   18 Kwi 2009 12:34 Odpowiedzi: 6    Wyświetleń: 1587
  • Samsung ck 703 cn. Procek wyłącza impulsy H w generatorze.

    mam problem procek wyłącza mi impulsy H w generatorze .tv posiada procek saa 5553ps i tda 8844.napięcia są oki .w momencie włączenia startuje wysokie i wyłączają się impulsy H i tv jest zimny , wówczas nie działa pilot ani klawiatura lokalna .stan się powtarza po wyłączeniu tv wyłącznikiem sieciowym. może ktoś miał podobny przypadek ,z góry dziękuję...

    TV Serwis   10 Lip 2007 22:03 Odpowiedzi: 4    Wyświetleń: 1486
  • Sterowanie momentem silnika przez przemiennik częstotliwości

    Zapomniałem napisać, że znam tę instrukcję. I szukałem już czegoś takiego (sterowanie prędkościowe, sterowanie momentowe). Niby jest sterowanie ograniczeniem prądu tworzącego moment, ale coś mi to nie działa. Ale zadam teraz trochu inne pytanie: Wyobraźmy sobie, że mamy jeden silnik sterowany z przemiennika częstotliwości. Nagle wał tego silnika, zaczyna...

    Automatyka Przemysłowa   25 Cze 2012 22:51 Odpowiedzi: 11    Wyświetleń: 5920
  • Dlaczego generatorek nie chce działać?

    Układ był bardzo wrażliwy na zmiany zasilania... Podczas eksperymentów tranzystor zrobił sie bardzo ciepły i chyba się uszkodził. Nie można bezkarnie zmieniać napięcia zasilania tranzystora gdyż zgodnie z prawem Ohma wraz ze zmianami napięcia proporcjonalnie zmieniają się prądy płynące w obwodach bazy i kolektora tranzystora. Dodatkowo na prąd kolektora...

    Radiotechnika Serwis   21 Maj 2007 21:11 Odpowiedzi: 3    Wyświetleń: 2660
  • Generator liczb losowych oparty o pamięć RAM

    Jak wygenerować losowy ciąg bitów? Niektórzy ludzie powiedzą, że to niełatwe, inni, że to bardzo trudne, a jeszcze inni zastanawiać się będą, czy to w ogóle możliwe. Oczywiście, nie problemem jest tworzenie ciągów pseudolosowych bitów korzystając z oprogramowania, ale niestety nawet najlepszy programowy generator liczb pseudolosowych potrzebuje dobrego...

    DIY Zagranica   10 Lip 2015 19:41 Odpowiedzi: 31    Wyświetleń: 11505
  • Generator funkcyjny DDS AD9834 25 MHz

    W tym właśnie momencie mam na stole generator funkcyjny zbudowany na układzie AD9837 (czyli scalak z tej samej rodziny, której użył autor tematu). Bardzo łatwo i fajnie można sobie na nich można wykonać generatory. Tak jak pisze kolega wyżej - jeśli nie będziesz miał jakiegoś wzmacniacza, to w praktyce generator na niewiele się przyda. A jeżeli będziesz...

    DIY Konkurs   19 Gru 2017 14:04 Odpowiedzi: 7    Wyświetleń: 5907
  • Generator Rigol DM811 - problem z generowaniem sin dla zakresu 100mV pp

    Szczerze mówiąc Twoje pomiary i wnioski są funta kłaków warte. Nie dość, że FFT na tym oscyloskopie to porażka, to jeszcze nie wiesz, jak je odczytać, nie wspominając o kombinacjach w połączeniu obu instrumentów. Czepiasz się harmonicznych, ale nie znasz ich poziomu, bo przecież mogą być zgodne ze specyfikacją: Ten generator jest słaby ( ) i działa...

    Warsztat elektronika   20 Lut 2023 21:33 Odpowiedzi: 28    Wyświetleń: 732
  • Generator Wysokiego Napięcia 10 kV

    Witam Mam pytanie dotyczące zabawy z wysokimi napięciami. Dołączając jakiś odbiornik do układu wytwarzającego Wysokie Napięcie (ok. 10kV), uzyskuję spadek prądu pobieranego przez układ WN ze źródła zasilania (o kilka procent). Czym jest lub może to być spowodowane? arek13! Jedno, co powinieneś wiedzieć: W teorii egzystuje "idealne źródło napięcia"...

    Początkujący Elektronicy   28 Maj 2005 20:21 Odpowiedzi: 21    Wyświetleń: 4288
  • Generator na wyjsciu attiny2313

    Zrób tak, jak Ci radzi przemek20 - ustaw timer w tryb CTC i daj przebieg na PB.4. OCR0A użyjesz do określenia zakresu zliczania licznika, czyli twoje 250 (albo 249, bo nie pamiętam kiedy następuje reset timera). OCR0B ustaw na taką samą wartość. Sposób zachowania się portu ustaw na "toggle OC0B on compare match" i już masz generator. Jak wszystko ustawisz...

    Mikrokontrolery   30 Wrz 2006 23:17 Odpowiedzi: 14    Wyświetleń: 3001
  • Prosty układ generator -sieć w Simulinku- problem

    Witam wszystkich. Próbuję sklecić prosty układ w Matlabie Simulinku, który składa się z generatora asynchronicznego podłączonego do sieci. Nie znam zbyt dobrze simulinka, więc pytam: - jak zamodelować napęd mechaniczny na wał generatora? w Helpie jest napisane aby do wejścia Tm podać sygnał ujemny wtedy maszyna będzie się zachowywać jak generator. Ok,...

    Początkujący Elektronicy   03 Paź 2006 23:22 Odpowiedzi: 0    Wyświetleń: 774
  • Timer2 z AT89S52 jako generator. Jak zwiększyć obciążalność?

    Witam Wykorzystałem Timer2 z AT89S52 do pracy jako generator przebiegu prostokątnego . Na oscyloskopie przebieg jest O.K. do momentu podłączenia obciążenia. Nawet dotknięcie palcem do wyjścia powoduje że sygnał robi się płaski. Czy obciążalność tego wyjścia jest taka mała? Nie mogę wysterować zwykłego tranzystora ( nie mam pod ręką żadnego polowego...

    Mikrokontrolery   09 Kwi 2008 16:06 Odpowiedzi: 16    Wyświetleń: 2774
  • generator. prądnica 25 kW firmy AEG

    Silnik S-4003 z c360 ma przy 1500 obrotach/ min moment 190 Nm co odpowiada w przybliżeniu 30 kW (do tej prądnicy powinien starczyć. Co do wytrzymałości na tych obrotach, to w czasie prac polowych często korzysta się i z wyższych obrotów (moc maksymalna przy 2200 obr.), a silniki ten 5000 motogodzin powinien wytrzymać bez problemu ( w razie czego mamy...

    Elektro Maszyny i Urządzenia   08 Mar 2011 12:46 Odpowiedzi: 8    Wyświetleń: 3232
  • [LPC2138][C] - Timer T0 jako timer programowy i generator. Czy się da?

    Ja zupełnie nie rozumiem, dlaczego to nie chce działać, tak jak sobie wymyśliłem... Czyżby moduł licznikowy w tych mikrokontrolerach miał aż takie ograniczenia funkcjonalne? :/ UM do tego MCU, w niektórych momentach jest nieściśle napisany, albo ja do końca nie rozumiem jego przesłania. Czy może mi ktoś wyjaśnić jak działa tryb MATCH w tych timerach...

    Mikrokontrolery ARM   29 Gru 2012 12:58 Odpowiedzi: 8    Wyświetleń: 2484
  • Generator impulsów synchronizacji koloru i przełącznik wideo dla chińskiego DVR

    Jest to bardzo specyficzna konstrukcja i prawie na pewno nikomu oprócz mnie nie przyda się w tej konkretnej postaci jaką tu opisuję, ale zawsze pozostają walory edukacyjne, nauczenie się jak coś zrobić albo jak czegoś w żadnym wypadku nie robić :D Urządzenie jest protezą dzięki której omijam niektóre wady chińskiego rejestratora wideo, model CVXR-I273...

    DIY Konstrukcje   06 Maj 2013 00:41 Odpowiedzi: 9    Wyświetleń: 17640
  • Przeróbka silnika 3,5 kW 750 obr na generator.

    Rozrysuj sobie stojan z cewkami w skali 1:1 na kartce lub lepiej w programie cad jeśli dysponujesz. Piszesz o 8 biegunach czyli co 45°. Uwzględniasz szczelinę powietrzną około 0.5mm i wrysowujesz okrąg, to jest wirnik. Wychodzi ci szerokość bieguna na wirniku, dobierasz magnesy. Pamiętając o skosie przy mocowaniu magnesów mocujesz magnesy prowizorycznie....

    Energia Odnawialna   05 Cze 2013 07:31 Odpowiedzi: 4    Wyświetleń: 4050
  • Budowa generatora - dobór magnesów do rdzenia

    Chcę zbudować generator (obracająca się tarcza z magnesami plus umieszczona nad nią cewka z rdzeniem). Próbuję połączyć ze sobą parametry rdzenia z parametrami magnesu stałego, aby dobrać optymalne rozmiary jednego i drugiego. Rdzeń: Przekrój rdzenia 25x25 Magnes: Rdzeń jest umieszczony nad magnesem: 25x25x10 Jak połączyć parametry rdzenia z parametrami...

    Forum Budowlane   26 Mar 2015 08:21 Odpowiedzi: 2    Wyświetleń: 1410
  • Odtwarzacz multimedialny - generator serwisowy video

    Witam wszystkich forumowiczów. Dziś Wam zaprezentuje bardzo ciekawy projekt, a mianowicie przeróbkę uszkodzonego boomboxa z DVD i ekranem LCD na generatorek serwisowy lub odtwarzacz multimedialny. Ale zacznijmy od początku kiedyś w moje ręce trafił uszkodzony boombox z DVD i z ekranem LCD, po wnikliwej analizie doszedłem do tego co było uszkodzone,...

    DIY Poczekalnia   26 Lip 2015 14:04 Odpowiedzi: 0    Wyświetleń: 4146
  • Szukam generatora 60Hz, 12V do napędu montażu Tał

    Dc to lepsze rozwiązanie bo łatwo sterowalny jest i to nawet w pętli zamkniętej. Taki sterownik na arduino wykonać i sterować sobie dowolnie prędkością i momentem. Dodano po 1 Widzę , że coś chyba nie tak z tym bezpiecznikiem po lewej stronie bo jakiś przyfajczony , a z diody jakiś drut sterczy w bok. Dodano po 1 Urządzenie widzę jest tak proste , że...

    Automatyka Przemysłowa   30 Gru 2019 20:57 Odpowiedzi: 13    Wyświetleń: 393
  • Regulacja częstotliwości i długości impulsów w generatore dla 10 diod LED o obciążeniu 160-200mA

    Witajcie. Mam makietę pociągu, który w pewnym momencie przejeżdża przez duży zwodzony most. Chciałbym na moście zamontować diody, które będą imitowały migające światła na wysokich budowlach (ostrzeżenie dla pojazdów latających). Wiem, że są migające diody LED, ale migają one za szybko, dlatego pomyślałem o generatorze impulsów, którym można regulować...

    Początkujący Elektronicy   19 Lis 2020 09:54 Odpowiedzi: 9    Wyświetleń: 384
  • Lampa plazmowa z generatorem na timerze 555. Trafo wysokonapięciowe z TV.

    Wybacz że tak negatywnie się wypoowiem, ale żadna z tego rewelacja, temat klepany od dawna. Swego czasu 2 lata temu zrobiłem lampę plazmową z okrągłego klosza lampy ogrodowej o średnicy bagatela jakieś 40cm. Wewnątrz umieściłem kulkę stalową z flipera i całość uszczelniając, uzupełniłem mieszanką neonu z azotem co dawało niebiesko pomarańczowe wyładowania,...

    DIY Zagranica   13 Lis 2006 09:14 Odpowiedzi: 19    Wyświetleń: 8705
  • Generator wysokiego napięcia

    Dziekuje Zrubo za opinię. Skożystam z niej:) Mam niewiele kasy wiec starałem sie liczyc z kosztami na generator. nawet bardzo... A ze jestem urodzonym eksperymentatorem.. :) Do czego mi układzik? Od kilku lat zajmuje sie elektronika, studiuję fizykę na politechnice.(dodatkowo jestem informatykiem , a chobby to elektronika). Moje zycie to jedno wielkie...

    Projektowanie Układów   01 Lis 2006 00:21 Odpowiedzi: 14    Wyświetleń: 7075
  • Praca równoległa generatora z transformatorem

    Pytania: 1. Jakie muszą być warunki na równoległą pracę generatora (metanowego) z transformatorem? Ta sama częstotliwość, takie same wartości skuteczne napięć, zgodny kierunek wirowania faz. 2. Należy zapewnić następujący rozkład poboru mocy (regulowany automaycznie): 2.1 Generator wykorzystujemy w 100%. 2.2 Brakującą moc pobieramy z transformatora....

    Elektro Maszyny i Urządzenia   09 Mar 2008 18:50 Odpowiedzi: 20    Wyświetleń: 4974
  • [c] generator trajektorii, jak odmierzać czas?

    Podaj specyfikację problemu. Jeden z kolegów stwierdził, że do skutecznego namalowania elipsy czas jest niepotrzebny. I miał rację. Drugi że zgodnie z Keplerem można sobie odmierzać drogę we współrzędnych radialnych. I też miał rację. Ja teraz zaczynam podejrzewać, że może masz gdzieś na podłodze elipsę po której jeździ samochodzik i chcesz jakoś pokazywać...

    Programowanie   26 Sty 2009 20:24 Odpowiedzi: 5    Wyświetleń: 1085
  • Generator przebiegu piłokształtnego

    Schemat zamieszczony powyżej przedstawia prosty układ generatora przebiegu piłokształtnego, który posiada również wyjście sygnału prostokątnego o tej samej częstotliwości. Element IC1 MAX4162 wraz z rezystorem R1 i tranzystorem Q1 tworzą źródło prądowe sterowane napięciem. Prąd Io rozładowuje kondensator C1 do momentu, gdy napięcie na tym elemencie...

    Artykuły   08 Lut 2010 12:47 Odpowiedzi: 0    Wyświetleń: 5120
  • Generator PTO (Step 7 200)

    Witam Za pomocą generatora PTO generuje przebieg, generator jest załączany po niedługim czasie od włączenia programu. Chciałbym żeby w momencie gdy działa generator było aktywne jedno z wyjść sterownika (przykładowo Q0.5), więc wraz z zakończeniem pracy generatora wyjście było by wyłączane. Generator jest załączany za pomocą zmiennej jak na rysunku....

    Automatyka Przemysłowa   03 Cze 2010 13:49 Odpowiedzi: 0    Wyświetleń: 2163
  • Prosty generator VCO Y5V - schemat.

    Przedstawiam wypróbowany schemat prostego VCO (generator przestrajany napięciem), o "słabych" parametrach, ale... Struktura takiego oscylatora była już kiedyś przedstawiana na Elektrodzie. Ja dodałem tylko wtórnik emiterowy na wyjściu żeby nie obciążać obwodu rezonansowego. Ale nie to jest najważniejsze. Jak zostało zrealizowane przestrajanie? Często...

    Artykuły   16 Kwi 2013 10:33 Odpowiedzi: 2    Wyświetleń: 15474
  • Prosty Generator przebiegów: Sinus, trójkąt, prostokąt

    Witam, konstrukcja fajna. Można by się przyczepić do rozmiarów kondensatorów w stosunku do zaprojektowanej PCB. Dodatkowo zastosowałbym puszeczkę ekranującą transformator by pole elektromagnetycznie nie roznosiło się w środku obudowy i nie zakłócało pracy generatora. W opisie brak wzmianki na temat zakresów częstotliwości - opisz jakie wartości uzyskujesz...

    DIY Konstrukcje   26 Cze 2013 17:58 Odpowiedzi: 18    Wyświetleń: 23838
  • Generator WN dobieranie tranzystorów.

    krzysztof723 Zastosowanie tranzystora T4 powoduje duże straty mocy przez co długość łuku wynosi ok 0,1 mm. Kolega sobel23 miał rację. Zdziwiło mnie to stwierdzenie, bo pamiętam, że taki generator zrobiony dawno przeze mnie pracował całkiem dobrze i dlatego postanowiłem wrócić do tematu i sprawdzić, co jest nie tak z tym urządzeniem. Zmontowałem generator...

    Początkujący Naprawy   05 Cze 2014 16:02 Odpowiedzi: 16    Wyświetleń: 4917
  • Zasilacz Hybrydowy - Podtrzymywanie zasilania z zasilacza + generator/ogniwa

    Witam serdecznie forumowiczow! Jestem nowy na forum, wiec prosze o laskawe potraktowanie. Chodzi mi po glowie proba zrobienia czegos w rodzaju ukladu podtrzymywania zasilania, korzystajacego rownoczesnie z dwoch zrodel zasilania. Pierwszym bylby zasilacz warsztatowy - ATX, drugim zrodlem bylyby panele sloneczne / turbinka wiatrowa. Chcialbym zrobic...

    Początkujący Elektronicy   09 Lut 2016 14:50 Odpowiedzi: 0    Wyświetleń: 588
  • Wykorzystanie klasy C++ w generatorze SIGLENT SDG1025

    Witam Tym razem sterowanie generatorem SDG1025. pro bono publico , pod koniec filmu pokazuję jak się przyłączyć do projektu. Potrzebny jest pdf z komendami dostępny na stronie siglenta oraz chęci. Co myślicie?

    Wideoporadniki   10 Lip 2017 19:08 Odpowiedzi: 0    Wyświetleń: 2724
  • Obliczanie amplitudy generatora Colpittsa

    Witam ponownie oraz wesołych świąt życzę wszystkim na elektodzie ;) Ostatnio wróciłem troszkę ponownie do teorii powstawania drgań w układach elektronicznych. Ale zacząłęm zabawę od prostego układu RLC. Przeanalizowałem przykładowy układ z wymyślonymi przez siebie wartościami elementów RLC. Ułożyłem równanie różniczkowe drugiego rzędu i je rozwiązałem....

    Początkujący Elektronicy   17 Gru 2017 21:54 Odpowiedzi: 13    Wyświetleń: 2319
  • Opis dwukanałowego generatora sygnałów prostokątnych z wyświetlaczem LED

    Witam. Poniżej przedstawiam Wam opis taniego dwukanałowego generatora sygnałów prostokątnych z regulacją wypełnienia. Ceny w Chinach zaczynają się od ok. 1,7$ z wysyłką, w Polsce od ok. 29zł z wysyłką. Układ sprzedawany jest jako gotowy zestaw, lecz należałoby dolutować kołki goldpin, by móc bezproblemowo z niego korzystać. Generator posiada 3-cyfrowy,...

    Artykuły   28 Sty 2019 22:05 Odpowiedzi: 13    Wyświetleń: 4425
  • Czy do generatora z silnika DC (ME0708) potrzebny sterownik?

    Silnik DC a prądnica różni się ustawieniem szczotek z wirnikiem. Silnik jest zoptymalizowany na wytworzenie jak największego momentu. Druga sprawa że taka prądnica jest i tak mało efektywna oraz pozbawiona stabilizacji napięcia. Nie da się utrzymać stałego napięcia niezależnego kg obrotów i obciążenia. W silniku DC część uzwojeń wirnika nie pracuje...

    Elektro Maszyny i Urządzenia   08 Kwi 2019 20:35 Odpowiedzi: 19    Wyświetleń: 810
  • Jak zmierzyć częstotliwość generatora używając Atmega8 i wyświetlaczy 7-segmentowych?

    Witam. Mam problem. Zrobiłem generator jak na rysunku poniżej: Potrzebuje mierzyć w czasie rzeczywistym częstotliwość jego pracy. Chcę zrobić na Atmedze8 którą posiadam. Podłączyłem do niej wyświetlacze siedmiosegmentowe i napisałem w C ich sterowanie. Moja pierwsza próba: Najpierw próbowałem zrobić zliczacz częstotliwości w taki sposób że podłączamy...

    Mikrokontrolery AVR   09 Maj 2019 19:25 Odpowiedzi: 16    Wyświetleń: 1263
  • Zabezpieczenie generatora wodnego przed prądem wstecznym - szukam porad

    A wytlumaczono mi ze generatory same sie synchronizują. To bardzo ciekawe... Kto Ci kolego takich (niebezpiecznych) bzdur nagadał? Niby w jaki sposób "same się synchronizują"? Ot tak załączasz wzbudzony generator do sieci i jest OK, zsynchronizuje się sam? Możesz coś więcej na ten temat, jakieś szczegóły? ;-) Elektrownie wodne i wiatrowe małej mocy...

    Elektryka Instalacje i Sieci   19 Lis 2021 20:13 Odpowiedzi: 22    Wyświetleń: 831
  • Uziemienie generatora prądu zasilającego do uziemioną instalację

    tworzysz tym samym ,,wyspę TT", bo PE jest podłączone do obudowy generatora. będzie albo IT albo TN TT było by w momencie niezależnego uziemienia agregatu wraz z jednym z biegunów. grozi brakiem skuteczności ochrony przeciwporażeniowej. Prądy pomiędzy L a PE są zbyt małe żeby zapewnić samoczynne wyłączenie zasilania. Trochę to tak jakby kazano rozpędzić...

    Elektryka Instalacje i Sieci   20 Wrz 2022 13:26 Odpowiedzi: 42    Wyświetleń: 3549
  • Lampowy nadajnik radiowy FM - zamiana ECC81 na ECC85 - wydaje się, że generator się nie wzbudza

    To wpływ indukcyjności obwodów, w tym pasożytniczych. Ze wzmacniaczami lampowymi tak dużej mocy m.cz. czy radiowymi jest ten problem, że w czasie rozruchu mogą pobierać znacznie większy prąd, co niestety szkodzi lampom. Załączanie takich sprzętów powinno odbywać się etapami, czyli najpierw startuje żarzenie, po tym czasie włączane jest napięcie anodowe....

    Radiotechnika Serwis   03 Lut 2023 20:20 Odpowiedzi: 10    Wyświetleń: 513
  • Generator OSD VGA i synchronizacji, od pomysłu do urządzenia

    Witam. Dziś przedstawię historię pewnego urządzenia oraz drogę "od pomysłu do przemysłu". W komentarzach do (at)arturavs Jak masz płytkę z ESP32, to możesz spróbować uruchomić przykłady generowania sygnału VGA: Link Biblioteka jest prosta w obsłudze i "arduinowa" ArduinoIDE nie jest co prawda dla mnie nowością i też wielu rzeczy muszę się jeszcze nauczyć...

    Artykuły   18 Lut 2024 10:50 Odpowiedzi: 48    Wyświetleń: 3486
  • Zlecenie wykonania przetwornicy DC/DC z regulacją napięcia dla generatora wiatrowego 3kW, 3 fazy

    Witam szerokie grono fachowców. Zlecę wykonanie przetwornicy DC/DC z regulacją napięcia startu dla generatora wiatrowego o mocy 3 kW, 3 fazy. Generator wiatrowy jest już spięty z kontrolerem, był też spięty z falownikiem DEYE. Przy słabym wietrze nie było wystarczającego napięcia do otwarcia bramki MPPT (bramka otwiera się przy 200 V). Przetwornica...

    Energia Odnawialna   04 Wrz 2023 08:53 Odpowiedzi: 3    Wyświetleń: 180
  • Silnik DC jako RLE a praca generatorowa

    Witam Jak mozesz to zapoznaj sie z bodajze pierwszym rozdzialem ksiazki "Automatyka napedu przeksztaltnikowego" M.P.Kazmierkowski oraz H.Tunia. Tam znajdziesz ciekawe informacje. Mysle, ze przeliczanie jest zbedne. Oczywiscie musisz dac regulator predkosci (polozenia) na wejsciu (PI). Jego nastawy musza byc dobrane w oparciu o parametry maszyny. Jednak...

    Początkujący Elektronicy   02 Lip 2007 12:43 Odpowiedzi: 20    Wyświetleń: 2463
  • Generator FM w ukł. Seilera na BF245

    Czytam to wszystko z bardzo mieszanymi uczuciami. Jako stary krótkofalowiec i konstruktor własnych radiostacji cieszę się, że jest tylu chętnych do eksperymentowania i konstruowania. Świat elektroniki jest fascynujący i nigdy nie dochodzi się do ostatecznych rozwiązań. Na początku próbowałem wszystko konstruować po omacku - metodą prób i błędów. Zaczynałem...

    DIY Konstrukcje   24 Sty 2008 20:39 Odpowiedzi: 45    Wyświetleń: 30769
  • Prędkość obrotowa turbiny wiatrowej / moment z prędkości wiatru i średnicy łopat

    Cześć, czy jestem w stanie wyznaczyć, jaka będzie prędkość turbiny wiatrowej na bazie prędkości wiatru i promienia łopaty? Tylko dajcie mi cynka, że jestem w stanie, a za potem spróbuję dopasować wzory, co byście mnie z błędu wyprowadzili ewentualnie. Planuję zrobić symulator turbiny z PLC+falownika napędzającego silnik, podłączone to ma być do generatora.

    Energia Odnawialna   24 Lis 2019 11:27 Odpowiedzi: 9    Wyświetleń: 1410
  • Transformacja energii wiatru na elektryczność: projekt drzewka z liśćmi-generatorkami

    Czemu nie sprawdzisz, jak działa zespół takich "liści", bez żadnych diód? Przetwornica przy tak małych generatorach to raczej średni pomysł. Skoro generator jest tak małej, mocy, po co ją tracić na podwyższenie napięcia i do tego dodawać dodatkowy element, który może ulec uszkodzeniu i kosztuje, w każdym mini generatorze? Podwyższenie napięcia w przetwornicy...

    Na pograniczu nauki   22 Paź 2023 23:10 Odpowiedzi: 4    Wyświetleń: 288
  • Kłopot z uruchomieniem układu generatora AD9833

    witam pracuje aktualnie nad generatore niskich czestotliwosci (0.01Hz do 100Hz) na ukladzie ad9833. Obliczlem ze przy taktowaniu 1Mhz dds'a wszzystko powinno sie zgodzic. Niestety mam problemy z wymienionym wczesniej ukladem. DDS steruje z atmega8. Wysylam mu reset a potem ustalam czestotliosci i ukald nie startuje.Na wyjscu po wlaczeniu zasilania jest...

    Projektowanie Układów   29 Lis 2007 00:59 Odpowiedzi: 4    Wyświetleń: 1331
  • Generatorek wiatrowy o mocy 80W

    Marcinosko dzięki za podpowiedż kiedyś nad tym problemem się zastanawiałem bo śmigło działa jak żyroskop. Dla niedowiarków że i przy małych,maleńkich wiaterkach to biedactwo jest w stanie coś wygenerować, fotki dzisiaj złapane ,a raczej na jednej z nich widać nikłe światło leda ,który to by tak zamigotał musi mieć na zasilaniu ok 4,5V, bo stabilizator...

    DIY Konstrukcje   23 Lis 2012 14:03 Odpowiedzi: 63    Wyświetleń: 51859
  • Generatorek pradu na calym kole rowerowym - magnesy - kto pomoze ?

    to ja napiszę tak. jeśli twierdzicie że wydajność będzie bardzo mizerna to chyba nie warto wogóle taki pomysł wdrażać. dynamo w piaście ? 3 w - mało . akumulatorek to 18 v 2,2 AH Li-ion z myślą o rozbudowanie , dodanie kolejnych bo wydajne. tak wiec chyba kupie więcej takich silniczków jak znalazłem w boomboxie . testowałem go podłączając pod instalacje...

    Początkujący Elektronicy   28 Maj 2016 23:40 Odpowiedzi: 25    Wyświetleń: 3357
  • Usterki w chińskich generatorach myjek ultradźwiękowych - przyczyny i porady naprawcze

    Panowie pewnie jesteście ciekawi co dalej z tym układem. Więc tak, wartości 33-68 ohm są już nie aktualne bo udało mi się ubić tą parę tranzystorów. Podłączyłem do innego zestawu przetworników chcąc zobaczyć czy może tam będzie chodzić i po paru sekundach przegrzało strukturę i ubiło tranzystory. Założyłem nową parę. Tam 33 ohm było już za dużo - grzały...

    Początkujący Elektronicy   19 Lis 2022 00:48 Odpowiedzi: 102    Wyświetleń: 3456
  • Generator przestrajany ATMega16a Bascom

    Witam ponownie Zgodnie z ostatnią sugestią przeprowadziłem testy sterownika silników krokowych podając mu zegar z generatora zbudowanego na ne555. Musze przyznać że całość pracowała nadspodziewanie dobrze. Silnik krokowy obracał się bardzo bardzo płynnie nawet przy obrotach rzędu 0,3obr/min żadnych wyczuwalnych skoków. Sterownik zapewnia bardzo dynamiczną...

    Mikrokontrolery AVR   28 Cze 2010 21:58 Odpowiedzi: 22    Wyświetleń: 5019
  • Regulowany generator częstotliwości do głośnika

    Dzięki Cowboy zagrabie za odpowiedź, w sumie to nie wpadłem na to i wydaje mi się to najprostsze rozwiązanie, więc chyba tak zrobię. :) Co do tego doświadczenia, to ta ciecz na tym głośniku to ciecz nienewtonowska. W cieczach tego typu, chodzi oto, że współczynnik lepkości nie jest stały. Najprościej mówiąc, ciecz normalnie w misce zachowuje się jak...

    Audio Początkujący   22 Lis 2011 17:14 Odpowiedzi: 6    Wyświetleń: 2511
  • atmega16 - Generator 0-16Mhz do ratowania martwych AVR

    Witam. Zablokowałem przez przypadek Atmege8 podczas próby zmiany funkcji pinu RESET na I/O w bascomie. Robiłem to pierwszy raz i chyba coś jeszcze zmieniłem przy okazji bo momentalnie układ przestał odpowiadać. Mam programator równoległy. Czytając o takich przypadkach możliwe że przyczyną blokowania jest przejście układu na zewnętrzny oscylator, niestety...

    Mikrokontrolery AVR   22 Sie 2012 23:39 Odpowiedzi: 6    Wyświetleń: 1500
  • Generator PWM na Atmega8 z linijką LED

    Dobrze, że jest kod źródłowy - dzięki temu mogę poradzić autorowi, żeby wziął się za poważną optymalizację kodu, bo w ten sposób wyłoży się momentalnie na większym projekcie. Ze swojej strony dodam jeszcze, że mogłeś sobie użyć np. dodatkowej zmiennej, z której można przepisać wartości do linijki i kod byłby 5x mniejszy, przy tym jeden mały wzór (no...

    DIY Konstrukcje   12 Lut 2016 11:16 Odpowiedzi: 27    Wyświetleń: 18807
  • Niesymetryczny przebieg z generatora funkcyjnego PM5131

    Nie ma składowej stałej, jest wyłączona poprzez potencjometr push-pull. Ze schematu wynika że od komparatora powinien wychodzić sygnał prostokątny a wyglada on tak jak na dołączonym zdjęciu - dla porównania sygnał wyjściowy sinus. Na 99% jestem przekonany ze tu jest problem niestety nie wiem jak do zdiagnozować. Znalazłem artykuł na forbocie, który...

    Początkujący Naprawy   19 Lip 2020 11:08 Odpowiedzi: 2    Wyświetleń: 519
  • Generator srebra koloidalnego

    Ok. No to jedziemy. 1.DLACZEGO po dodaniu 1-2 kropel/l 30% H2O2 (Cz.d.a)... (rozcieńczonego wodą destylowaną lub nie) - z przezroczystej cieczy momentalnie robi się białe mleko ? 2.DLACZEGO taki efekt ma miejsce TYLKO tuż po zakończeniu "elektrolizy" - a już kilka godzin później... NIE ? 3.CZYM jest "białe mleko" ? 4.CO powoduje to "mleko" ? Efekt raczej...

    Na pograniczu nauki   29 Maj 2021 03:19 Odpowiedzi: 161    Wyświetleń: 49164
  • Nagrzewnica indukcyjna z miękko przełączanym generatorem SEPR

    Nagrzewnica indukcyjna z miękko przełączanym niesymetrycznym generatorem o rezonansie równoległym Jakiś czas temu pracowałem nad eksperymentalną konstrukcją nagrzewnicy indukcyjnej wykonanej w topologii niesymetrycznej, miękko przełączanej o rezonansie równoległym. Budowa układu protypowego o mocy cieplnej 500W miała na celu potwierdzenie założeń dot....

    Artykuły   10 Cze 2021 08:53 Odpowiedzi: 3    Wyświetleń: 2910
  • ładowanie akumulatora z panela słonecznego i generatora

    Witam Za pomocą jakiego układu moge osiagnąć taki efekt; normalnie bateria ładowana jest z panela słonecznego max 14V przez regulator, w momencie załaczenia generatora 230V ( przez ładowarkę chcę ładować akuu ale nie chce aby w tym samym momencie była ładowana przez panel słoneczny???I w momencie jak odepnę genearator aby bateria ładowana była z panela...

    Projektowanie Układów   08 Lip 2006 15:14 Odpowiedzi: 1    Wyświetleń: 1413
  • generator marxa- kolejne problemy

    Kondensatory, połączone są równolegle przez oporniki, więc na okładzinach wszystkich kondensatorów maksymalne napięcie jakie wystąpi to napięcie do momentu następuje przebicie iskiernika. Pisałeś że luk z trafa WN ma długość około 2cm czyli licząc po 1mm/1kV z trafo daje napięcie około 20 kV. W sumie masz 9 iskierników, wszystkie strzelają przy podłączeniu...

    Układy Zasilające   17 Cze 2007 03:12 Odpowiedzi: 12    Wyświetleń: 4351
  • Samochód elektryczny inaczej - diesel generator czy możliwe?

    Takie pomysły się stosuje powodzeniem. Większość myśli, że moc silnika jest najbardziej istotna. Tak było 100 lat temu gdy 1 koń w tą czy w drugą to była różnica w prędkości max kilkunastu kilometrów na godzinę. Samo rozpędzanie nie było aż takie istotne, ważne że się jechało i było się szybciej niż koniem. Teraz nie ma większego znaczenia czy samochód...

    Samochody Elektryczne   12 Sie 2010 08:36 Odpowiedzi: 26    Wyświetleń: 12917
  • Stroboskop na palniku IFK120 nie działa generator

    Witam, niedawno złożyłem sobie stroboskop na palniku IFK120 z tego tematu : I mam z tym stroboskopem niesamowite problemy, ten układ mnie chyba nie lubi. Na początku nie działał generator wyzwalania, i stroboskop błyskał tylko po zwarciu anody i katody tyrystora. Stosowałem tyrystor KT505, i raz eksperymentalnie włożyłem w jego miejsce Triak BT136 i...

    Optoelektronika   15 Lis 2009 16:06 Odpowiedzi: 3    Wyświetleń: 4440
  • Generator liczb pseudolosowych a ruch myszką - pytanie.

    Szanowni Państwo! Ponieważ od jakości wykonywanego algorytmu, a konkretnie jakości liczb losowych w algorytmie zależy jakość wyników mam pytanie, które mnie nurtuje od jakiegoś czasu. Wbudowany generator liczb losowych z którego korzystają stare języki programowania (np. Pascal, Basic itp) korzystają głównie z odczytów zegara systemowego. Co do ASM...

    Programowanie   22 Lis 2016 20:04 Odpowiedzi: 18    Wyświetleń: 2736
  • Generator sinusoidalny bardzo małej częstotliwości.

    To ja może zaproponuję coś takiego: dwa generatory, jeden może być "cyfrowy", drugi "sinusoidalny", ten drugi powinien dawać kilka razy (np. 9.01) niższą częstotliwość - a jeszcze jakby częstotliwość któregoś ciut pływała, i to w jakimś ustalonym rytmie, to by było całkiem fajnie; sygnał z pierwszego podać na CD4017 (to układ, który daje '1' krążącą...

    Początkujący Naprawy   08 Lut 2012 21:13 Odpowiedzi: 35    Wyświetleń: 11994
  • Prosty generator VGA i Composite Video na Atmedze

    Założenie, które obrałem w zasadzie jest dość proste: skonstruować generator obrazu do monitora LCD o rozdzielczości >15x15 symboli, wykorzystując popularny mikrokontroler typu ATmega 8, 16, 8535 itd. z minimalną ilością elementów zewnętrznych. Koniecznie ma pracować na standardowych częstotliwościach sygnału VGA. Adapter powinien otrzymywać informację...

    DIY Zagranica   24 Mar 2012 00:09 Odpowiedzi: 4    Wyświetleń: 15204
  • Generator z pętlą PLL pracujący do 13 GHz

    Na poniższym schemacie blokowym pokazano układ generatora z pętlą PLL, który oparty jest o syntezator pracujący do 13 GHz z podziałem częstotliwości przez N ułamkowe, wykorzystano także szerokopasmowy filtr aktywny, generator przestrajany napięciem (VCO). Układ ten charakteryzuje się wyjątkowo szybkim czasem stabilizacji przebiegu wyjściowego. Faza...

    Artykuły   17 Gru 2013 14:08 Odpowiedzi: 0    Wyświetleń: 4773
  • Generator BLCD - Schemat układu do odbioru prądu z silnika modelarskiego 3f

    Witam Potrzebuję do wykonania projektu maxymalnie lekki i niewielki, a zarazem bardzo wydajny generator prądu, który musi stawiać jak najmniejszy opór. Jedyną taką rzeczą, która przyszła mi do głowy jest właśnie silniczek BLDC 3f do modeli latających (spełnia na pewno powyższe 3 kryteria, nie wiem jak z wydajnością). Największym moim problemem jest...

    Robotyka Modelarstwo i CNC   16 Paź 2014 16:07 Odpowiedzi: 1    Wyświetleń: 1026
  • Kilka pytań odnośnie generatora van de Graaffa

    Silnik prądu stałego C9050-60003, to DC motor; 18V; 8800RPM ( Paper feed z HP Deskjet F2280 ). Silnik przeznaczony jest do pracy z przekładnią obniżającą obroty. Jeśli masz sam silnik i nie wiesz jaki moment obrotowy rozwinie Twój napęd, możesz wykonać próbę, zasilić tak, aby ograniczyć prąd silnika do wartości znamionowej (sądząc po wykonaniu silnika,...

    Początkujący Elektronicy   01 Paź 2015 04:56 Odpowiedzi: 2    Wyświetleń: 1173
  • Symulacja generatora sinusoidalnego na elementach w PSPiCe

    Żeby zasymulować generator można zrobić tak jak w rzeczywistym układzie moment włączenia zasilania czyli napięcie zasilania generatora gwałtownie wzrasta od 0 do np. 12V - jest to moment załączenia zasilania, przy takim pobudzeniu generator zastartuje nie ma siły

    Początkujący Elektronicy   14 Kwi 2016 11:23 Odpowiedzi: 1    Wyświetleń: 474
  • Radyne generator 7s - nie generuje prądu wysokiej czestotliwości

    Poszukuje pomocy z generatorem 6000V 23,7Mhz. Niestety nie mam żadnego doświadczenia z generatorami HF. Przedstaw dokładniej Twój problem. Czy masz problemy z generatorem (ze zgrzewarką pojemnościową) które wystąpiły w czasie eksploatacji, czy dopiero masz zamiar uruchomić proces? W starszych modelach do rezonansu doprowadzało się kondensatorem powietrznym...

    Elektro Maszyny i Urządzenia   18 Cze 2016 02:49 Odpowiedzi: 1    Wyświetleń: 987
  • MAGMOV Energy - zasada działania i rodzaj paliwa w generatorach

    Sceptycy i niedowiarki. To jest właśnie gruzińska myśl techniczna! :lol: Dla tych z Was, którzy są bardziej ambitni proponuję obliczyć wymagany moment obrotowy na wale alternatora, na wale tej "turbiny" oraz siłę z jaką muszą działać magnesy, aby uzyskać zakładaną moc. Dodatkowo można jeszcze pokusić się o wyznaczenie siły wymaganej do przesuwania wózków,...

    Na pograniczu nauki   17 Gru 2020 21:57 Odpowiedzi: 13    Wyświetleń: 1677
  • Regulacja obrotów dmuchawy pieca za pomocą generatora NE555 i optotriaku

    Żeby silnik się spalił przez jego uzwojenie musi płynąć prąd o wartości skutecznej większej niż znamionowa. Czy obniżenie napięcia na silniku ( nawet poprzez regulator fazowy ) spowoduje wzrost prądu pobieranego przez silnik ?, raczej nie zwłaszcza że obciążeniem silnika jest dmuchawa, a silnik ma moc 75W ( i możliwe że to konstrukcja ze zwartą fazą...

    Początkujący Elektronicy   09 Maj 2021 09:54 Odpowiedzi: 27    Wyświetleń: 867
  • Naprawa Generatora Adret 740A: wymiana EPROMów, programowanie i korekcja wartości

    Witam Panie Jarku,temat z generatorem jest cały czas aktualny ponieważ Adret jeszcze nie pracuje,i z tego powodu nie zamykam jeszcze tematu naprawy.W między czasie postarałem się o dokumentację i szukałem informacji na różnych forach.Znalazłem gdzieś informacje (tak jak Pan zasugerował w swojej odpowiedzi o module 80Mhz i dalej o podziale na 10Mhz)...

    Warsztat elektronika   18 Mar 2024 20:26 Odpowiedzi: 36    Wyświetleń: 1650
  • Generator Hartley dwuelementowy

    Prosty generator można już zbudować z dwóch elementów: tranzystora FET oraz cewki. Indukcyjności cewki z odczepem oraz pojemność złącza tranzystora pozwalają zainicjować generowanie sygnału o częstotliwości 3,7MHz. Cewka została nawinięta na średnicy 8mm i nie potrzeba rdzenia. Poczynając od masy wykonujemy 36 uzwojeń, następnie odczep i kolejne 220...

    Artykuły   06 Gru 2003 16:58 Odpowiedzi: 1    Wyświetleń: 8974
  • GENERATOR IMPULSOWY

    To znaczy, że w chwili narastania (opadania) napięcia sieci (nieco za momentem przejścia przez zero), generujesz impulsy 20 kHz? Czy chcesz kluczować 20 kHz częstotliwością sieci? A tak w ogóle do do czego tego potrzebujesz? Wtedy będzie łatwiej doradzić. Pozdrawiam.

    Projektowanie Układów   19 Paź 2003 11:26 Odpowiedzi: 5    Wyświetleń: 2055
  • uszkodzenie generatora w.cz Zopan PG20

    Zgodnie z WT ZOPAN. PG-20. 1. Niestabilność częstotliwości (po dwóch godzinach od momentu włączenia) krótkoterminowa 0,01%/15min. długoterminowa 0,1%/3h. 2.Wpływ zmian napięcia zasilania +-10% na częstotliwość <0,01% 3 Wpływ zmian temperatury otoczenia na częstotliwość < 0,2%/10 stopni C. 4. Wpływ zmian napięcia wyjściowego na częstotliwość <0,005%...

    Radiotechnika Serwis   05 Lip 2008 15:47 Odpowiedzi: 8    Wyświetleń: 5630
  • mam problem z przerwanie timer0 (generator)

    Witam mam takiego problema : Używam licznika Timer0 do generowania przerwań tzn. Config Timer0 = Timer , Prescale = 8 On Timer0 Generuj Enable Timer0 Enable Interrupts Load Timer0 , 100 Do ... ... ... Loop Generuj: Load timet0, 100 toggle porta.1 Return I tu się pojawia problem mianowicie mam kwarc 8MHz czyli 8000000/8=1000000 i 1000000/100=10000Hz...

    Mikrokontrolery   11 Lis 2003 17:32 Odpowiedzi: 10    Wyświetleń: 2078
  • Generator WN- problem!!! Układ nie chce działać

    W bazie 2N2222 to moim zdaniem lepiej większy opór niż mniejszy. Ale (zajrzałem do danych 555) to tylko sprawa prądu bazy - żeby go nie spalić. Kondensator na nóżce (5) nie jest ważne jaki - z 10n też powinno działać. Pomierz napięcia stałe, spróbuj zobaczyć, czy da się wykryć jakieś zmienne (np. na kolektorze 2N2222 powinno być spore)... ale obecność...

    Inne Serwis   08 Kwi 2004 12:08 Odpowiedzi: 23    Wyświetleń: 3620
  • Generator impulsow o zmiennym w zakresie wypelnieniu

    Zacytuje lecha który keidyś pomógł mi zrealizować ten problem sprzetowo i bardzo dokładnie w sumie i właściwie na dowolnych częstotliwościach (ja potrzebowałem 40kHz i 4 niezależnych kanałów o tej samoe częstotliwości i różnym czasie wypełnienia): "Ja to widzę tak, bez komparatorów: - Generator kwarcowy 10MHz, co da po podzieleniu przez 256 wartość...

    Mikrokontrolery   30 Maj 2004 19:43 Odpowiedzi: 5    Wyświetleń: 2048
  • Wyłączanie się generatora nośnej w albrechcie.

    Mam albrechta 4090. Radio nie chce ani odbierać ani nadawać. W momencie naciśnięcia gruszki przy próbie nadawania generator przestaje generować nośną. Na odbiorze niby generuje ale i tak nie ma odbioru. Spotkał się może ktoś z czymś takim w jakimś CB ?? Czekam na ewentualne rady. Radio mam od dawna i pewnie jeszcze długo poleży bo nie mam pojęcia co...

    Radiotechnika Serwis   08 Lip 2004 11:28 Odpowiedzi: 1    Wyświetleń: 945
  • Generator napięcia schodkowego sterowany komputerem

    Z tą moją niewiedzą to trochę przesadziłem, aż tak źle to zemną nie jest. Schematy umiem odczytać ponieważ udało mi się zbudować sterownik eurodrivera. Co do kasety to chodzi o dokładnie o ten typ wykorzystywany w pomiarach przedstawionych na tamtej stronie. Co do parametrów, podałem to co sam wiem i dlatego proszę o pomoc. Zakres napięcia wyjściowego...

    Początkujący Elektronicy   26 Paź 2004 12:59 Odpowiedzi: 3    Wyświetleń: 1824
  • Generator prostokąta w zakresie 120 000 do 1 200 000 Hz.

    Architektura nie ma tu wiele do gadania jezeli chodzi o machanie jedna nozka. Ciezko bedzie z ta najwyzsza czestotliwoscia. No i krok 100 Hz utrudnia tez sprawe. Jesli zastosowac kwarc 10 MHz to najprostszym sposobem byloby zastosowac petle skladajaca sie z instrukcji NOP. Obsluga menu koniecznie powiazana z przerwaniem zewnetrznym. Niesty w momencie...

    Mikrokontrolery   02 Sty 2005 15:59 Odpowiedzi: 4    Wyświetleń: 1593
  • Generator WN- pare pytan

    Wyjscia WN przy pracujacym TV to ja bym raczej nie dotykał. Co prawda czasami przy momencie nieuwagi mozna dotknąc wyjscia trafa WN w układzie lampy plazmowej ale to chyba nieco inna częstotliwość. Raz dostałem po ręce stałym ok 40kV i nie powiem ze było mi miło - zastosowałem powielacz z tv i podłączyłem do generatora na cewkach zapłonowych. Nawet...

    Inne Serwis   16 Lis 2005 13:57 Odpowiedzi: 12    Wyświetleń: 2297
  • Philips 21pt2683/58 generator H pracuje 2 sekundy.

    Telewizor miał uszkodzony układ odchylanie pionowego po wymianie, na czuwaniu pracuje i napięcia są prawidłowe ale w momencie włączenie generator H pracuje przez jakieś 2 sekundy przez ten czas z trafopowielacz wychodzą też napięcia chyba prawidłowe np. na wizję 190 V. czy ktoś w tej sytuacji by postawił na to że to właśnie trafopowielacz bo mnie zastanawia...

    TV Serwis   10 Kwi 2005 00:07 Odpowiedzi: 8    Wyświetleń: 1886
  • Generator na 51 nietypowy kształt ||pomocy||

    Ja zrobiłbym to w następujący sposób: Częstotliwość próbkowania ustawiłbym tak, by była większa od częstotliwości sygnału jakieś 10 - 100 razy (im więcej, tym mniejsze zniekształcenia sygnału). Oczywiście wszystkie próbki sygnału trzymasz w jakiejść tablicy. Zamiast przetwornika C/A wykorzystałbym PWM (to można zaimplementować softwarowo) o częstotliwości...

    Mikrokontrolery   17 Kwi 2005 07:40 Odpowiedzi: 9    Wyświetleń: 1535
  • Generator na multiwibratorze - kto pomoze zaprojektowac?

    Czesc, nie mam skanera, wiec bedziesz musial wytezyc wyobraznie. :) Wez dwie bramki NOT (N1, N2), wejscia bramek polacz z masa za pomoca rezystorow (R1, R2). Wejscie bramki N1 polacz z wyjsciem bramki N2 za pomoca kondensatora (C2). Podobnie wejscie bramki N2 polacz z wyjsciem bramki N1 (C1). Wyjscia bramek stanowia wyjscia ggeneratora (Q i zanegowane...

    Początkujący Elektronicy   21 Kwi 2005 16:36 Odpowiedzi: 5    Wyświetleń: 3414
  • generator liczby losowej na 8051

    Mało który 8051 ma ADC, ale timer można odpalić żeby sobie latał, odczytywać go w porządanym momencie i brać pod uwagę tylko 4 ostatnie bity

    Mikrokontrolery   24 Maj 2006 14:55 Odpowiedzi: 23    Wyświetleń: 4395
  • Zniekształcenia w generatorze funkcyjnym

    Witam! Zrobiłeś kilka podstawowych błędów i teraz trudno będzie z tego wybrnąć, ja bym Ci radził uderzyć do profesora, powiedzieć, że masz nową koncepcje i chcesz to poprawić i żeby Ci to oddał, albo się spręż i zrób to od nowa, pod spodem masz napisany typ obudowy, ja tą trzecia pracę robiłem przez piętnaście godzin, ale pierwszą przez tydzień. Przede...

    Początkujący Serwisanci   31 Maj 2005 12:16 Odpowiedzi: 11    Wyświetleń: 2403
  • 2 generatory PWM w ATMEGA8515?

    tak patrze na ten listing, jednak nie jestem pewny czy te PWMy sa niezalezne. mi sie zdaje ze jeden jest np 25% to drugi na 75%. moge sie mylic i w tym momencie byloby lepiej:P a w ogole tam jest takie cos: drugi przebieg PWM ma wypelnienie odwrotne niz pierwszy max Dodano po 2 pozostaje mi zrealizowac tego PWMa software'owo. tak chcialem robic od razu,...

    Mikrokontrolery   09 Wrz 2005 11:36 Odpowiedzi: 7    Wyświetleń: 1409
  • Programmable signal generator TR-0614/B.-problem

    Napisz na jakich panelach palą Ci się diody w momencie braku synchronizacji.

    Radiotechnika Serwis   26 Paź 2005 13:40 Odpowiedzi: 5    Wyświetleń: 1534
  • Generator Pola Torsyjnego

    "To czemu za ogrzewnie place ta duzo ?" Ta duzo i baaaardzo dlugoooo; z prozaicznej przyczyny, bo prawa fizy są d....... zbadane. I ten przykry dla nas "szaraczków" stan potrwa co najmniej do momentu wykopania całego wegla, ropy, uranu, itp., a chwila wprowadzenia urządzeń do pozyskiwania "dziwnej" energii będzie odwlekana w nieskończoność. I nawet...

    Na pograniczu nauki   09 Sty 2006 06:46 Odpowiedzi: 22    Wyświetleń: 31545
  • Generator loswowy liczb całkowitych w Java

    Inicjację macierzy musisz wykonać w konstruktorze, w momencie, gdy ilość wierszy i kolumn jest już znana.

    Programowanie   07 Lis 2005 18:43 Odpowiedzi: 9    Wyświetleń: 3287
  • Generator WN - pytanie

    Wydaje mi się, że jest to w miare klasyczna konstrukcja TEA N. Zbudowany jest z dwóch połączonych równolegle kondensatorów ( pomiędzy nimi jest cewka ) tworzących tzw linie Blumlein'a Równolegle do pierwszego kondensatora włączony jest iskrownik . W momencie w którym "strzela" ( powinien być tak ustawiony żeby to zachodziło dopiero gdy kondensatory...

    Początkujący Elektronicy   10 Gru 2005 23:24 Odpowiedzi: 18    Wyświetleń: 1710
  • tv PROVISION L-2815TM trafo albo generator

    cześć mam takie pytanie, telewizor provision L-2815TM to jest jeden z tych WYNALAZKÓW chipermarketów za 600zł 28'',dosyć popularne ale nie mam dostępu bezpośredniego do części, telewizor startuje wysokie nap. też, po chwili objaw taki jak by przerywał kondensat. na wysokim nap. albo przerwa na druku na trafie, albo jak by trafo miało wewnętrzne przebicie,...

    TV Serwis   08 Sie 2006 22:43 Odpowiedzi: 4    Wyświetleń: 1469