generator pojedynczy

Znaleziono około 1404 wyników dla: generator pojedynczy
  • Uproszczenie generatora pojedynczego impulsu do jednego przekaźnika

    Chodzi mi o to czy da się go zbudować na samych tranzystorach, rezystorach i kondensatorach, które podadzą impuls na cewkę przekaźnika ? Tak można to zrobić. W układzie z postu #1 są dwa czasy. https://obrazki.elektroda.pl/1633243000_... Przebieg z rys. post #1. Zbocza impulsu realizuje przekaźnik.

    Początkujący Elektronicy   30 Lis 2023 00:59 Odpowiedzi: 59    Wyświetleń: 1350
  • Jak zbudować generator pojedynczego impulsu na NE555 dla różnych stanów?

    Witam. Tu masz układ wyzwalany zboczem narastającym: http://www.elektroda.pl/rtvforum/viewtop... Wejście wyzwalające łączysz przez rezystor 10k do Udd. Tranzystor transoptora zwiera wejście do masy. http://www.elektroda.pl/rtvforum/viewtop... Tu masz wyzwalanie zboczem opadającym. Z tego schematu wykorzystasz...

    Projektowanie Układów   13 Lis 2007 11:01 Odpowiedzi: 12    Wyświetleń: 6168
  • REKLAMA
  • Nie znalazłeś odpowiedzi? Zadaj pytanie Sztucznej Inteligencji

    Czekaj (1min)...
  • Smart Switch - jak uzyskać krótki impuls NC (mniej niż 1 s)

    Polecam zastosować taki układ: https://sklep.avt.pl/pl/products/generat... Sprawdzone. Działa bardzo stabilnie

    Automatyka bram, szlabanów, rolet   30 Sty 2025 15:31 Odpowiedzi: 22    Wyświetleń: 351
  • REKLAMA
  • Generator pojedynczego impulsu po podaniu zasilania

    W miejsce opornika R1 daje kondensator 4,7uF/25v Kondensator to elektrolit?

    Początkujący Elektronicy   15 Sty 2022 00:21 Odpowiedzi: 7    Wyświetleń: 1392
  • Rozważania koncepcyjne dla pomysłowych: Jak aktywować coś czego nie można dotknąć?

    Ma przerwania od pinów, które mogą go wybudzić, gdy wykryją jakiś impuls elektryczny. No to może generator pojedynczego impulsu (piku) o sporej mocy (lub określonego ciągu impulsów) na tyle różniącego się od możliwych występujących w "tle" by był łatwo definiowalny przez ten AVR? V.

    Projektowanie Układów   14 Sty 2024 16:51 Odpowiedzi: 20    Wyświetleń: 1587
  • Wykrywacz kabli - Jak wykryc pojedynczy kable (generator - sonda)

    Skorzystaj z tego linka https://www.google.pl/search?q=PeakTech+... znajdziesz kilka urządzeń profesjonalnych przeznaczonych do tego celu

    Elektronarzędzia   26 Lut 2022 13:46 Odpowiedzi: 3    Wyświetleń: 435
  • Jak skonfigurować 74LS193 do dzielenia częstotliwości przez 97?

    ja bym wręcz proponował nie 1Hz a generator pojedynczych impulsów, czyli taki gdzie po jednym naciśnięciu przycisku podajesz jeden impuls. Wtedy po każdym impulsie masz czas na sprawdzenie wszystkich stanów i przeanalizowanie.Praco i czasochłonne ale powinno być skuteczne.

    Początkujący Elektronicy   08 Cze 2008 11:50 Odpowiedzi: 37    Wyświetleń: 4864
  • Jak zrobić licznik rowerowy z analogową wskazówką na impulsy?

    Witam. Wskaźnikiem może być voltomierz. Czujnik jak w tradycyjnym rowerowym liczniku, następnie generator pojedynczego krótkiego impulsu, tranzystor sterowany tymi impulsami i miernik napięcia jako wskaźnik.

    Projektowanie Układów   26 Sie 2007 20:43 Odpowiedzi: 3    Wyświetleń: 3423
  • REKLAMA
  • Generator pojedynczego sygnału o okreslonym okresie

    Musze zaprojektowac uklad ktory bedzie generowal jednorazowo sygnal o okreslonym okresie. po zakonczenie przestaje generowac. poki co parametry przebiegu sa nie wazne.. chodzi mi o to jak zrobic by uciac sygnal... generator mysle ze oprze o uklad 555 a co dalej.. prosze o pomoc

    Projektowanie Układów   06 Lip 2005 19:34 Odpowiedzi: 1    Wyświetleń: 994
  • Generator pojedynczego impulsu wyzwalanego z opóźnieniem zboczem opadającym

    Jak poradziłeś sobie z wy2 to jaki masz kłopot z wy3? Możesz to narysować? Czy musi być koniecznie CD4093?

    Początkujący Elektronicy   03 Lut 2016 17:41 Odpowiedzi: 3    Wyświetleń: 1473
  • REKLAMA
  • Jak podłączyć oświetlenie podjazdu do napędu bramy z przekaźnikiem PSC516 i AZ112?

    A jak masz podpięte zasilanie tego przekaźnika czasowego do wyjścia zasilania fotokomórek i jako sygnał wyzwolenia podasz ( + ) tego zasilania na wejście 9 na jakiś czas i potem ten sygnał zabierzesz, to przekaźnik czasowy zwiera styki jeszcze przez nastawiony na czasówce czas? Sprawdź parę razy pod rząd taki cykl. Jeśli tak to działa, to wtedy podpinasz...

    Automatyka bram, szlabanów, rolet   22 Paź 2024 19:27 Odpowiedzi: 20    Wyświetleń: 765
  • Wpływ otwartego kolektora na działanie komparatora okienkowego i generatora impulsu

    Witam, Witam, mam ogromną prośbę dotyczącą dwóch układów. Biorąc pod uwagę układ komparatora okienkowego jak na schemacie poniżej: http://obrazki.elektroda.net/96_12343743... Jaki wpływ na zasadę jego działania ma zastosowanie otwartych kolektorów w użytych wzmacniaczach operacyjnych?? uzyskujemy za pomocą połączenia razem obu wyjść - z otwartym...

    Początkujący Elektronicy   12 Lut 2009 00:58 Odpowiedzi: 4    Wyświetleń: 3593
  • Jak przerobić VXO na VFO z diodami BB105 i obwodem LC?

    Schemat pokazuje generator w układzie Colpitsa, który działa w tysiącach urządzeń. Zatem i w Twoim (po dobraniu L i C) będzie działał poprawnie. Zamieniłbym tylko potencjometr na wyjściu z generatora na pojedynczy rezystor lub dzielnik na stałych rezystorach. Pojemność sprzęgająca 33 pF powinna być wystarczająca. Zmieniając pojemności powinieneś zadbać...

    Radiotechnika Serwis   04 Lis 2017 20:06 Odpowiedzi: 4    Wyświetleń: 1722
  • Informacje o generatorze impulsu na układzie 121 i 123

    Poszukuje wszelkich możliwych informacji na temat Generatora pojedynczego impulsu zrobionego na układzie 121 i 123.

    Elementy Elektroniczne - zamienniki i identyfikacja   08 Kwi 2005 16:55 Odpowiedzi: 1    Wyświetleń: 1577
  • Jeep zj 4.0 - Wybór taniego generatora impulsów do czyszczenia wtryskiwacza

    Ten nie bardzo, to generator pojedynczego impulsu. Raczej coś takiego jak generator impulsów. https://allegro.pl/oferta/modul-generato... I do tego moduł wykonawczy na tranzystorze Mosfet i diodzie zabezpieczającej przed przepięciami. https://kamami.pl/przelaczniki-cyfrowe/5...

    Samochody Elektryka i elektronika   23 Mar 2022 13:26 Odpowiedzi: 8    Wyświetleń: 360
  • Jak stworzyć sygnał impuls 5v?

    Witam, oczywiście że istnieje. Interesuje cię przełącznik monostabilny czy generator pojedynczego impulsu. W uproszczeniu dodanie kondensatora i rezystora do przycisku spowoduje generację impulsu przy wcisnięciu. Poczytaj o układzie 74121, on ma w środku taki przełącznik i może reagować na dowolne zbocza sygnału. Jeżeli to ma być do myszki, to rozważ...

    Projektowanie Układów   27 Paź 2023 11:30 Odpowiedzi: 7    Wyświetleń: 453
  • Schemat podwójnego generatora NE555 z opóźnieniem 200 mikrosekund

    zrobilem troszek inaczej, zastosowalem dwa generatory pojedynczych przebiegow wyzwalane zboczem opadajacym. pierwszy przebieg trwa ok 200us i jest podawany na drugi generator. w tym drugim impuls trwa kolo 20 us. czyli na wwyjsciu mam przebieg opozniony o 200us i trwajacy 20us. podaje ponizej schemat i wykresy. na pierwszym wykresie jestw idoczny sygnal...

    Projektowanie Układów   03 Maj 2007 20:17 Odpowiedzi: 21    Wyświetleń: 4195
  • Jak zrobić sztuczną masę dla ICL8038: powielacz napięcia czy wzmacniacz operacyjny?

    Możesz zastosować w generatorze ICL pojedyncze zasilanie, ale wtedy sygnały wyjściowe będą mieć poziomy nie względem masy a względem połowy napięcia zasilania. Rozumiem, że to jest przyczyną dla której chcesz symetryczne? "Po Bożemu" to kup transformator z podwójnym uzwojeniem. W ostateczności Twój sposób pierwszy, ale duuże pojemności filtrujące, oraz...

    Początkujący Elektronicy   03 Mar 2013 23:27 Odpowiedzi: 4    Wyświetleń: 2544
  • Jak zbudować trenażer strzelecki z laserem o zasięgu 100m i regulacją opóźnienia?

    Wykazujesz strasznie mało własnej inwencji: [url=http://www.elektroda.pl/rtvforum/to... pojedynczego impulsu http://electronicsclub.info/555timer.htm Tylko nie pisz, że szukałeś...

    Początkujący Elektronicy   25 Lut 2017 17:32 Odpowiedzi: 18    Wyświetleń: 2457
  • Jak działa przekaźnik czasowy PCU-511 w odmierzaniu czasu?

    Albo najzwyklejszy timer NE555 w trybie monostabilnym. "monostabilny: 555 funkcjonuje jako wyzwalany generator pojedynczego impulsu. Zastosowania tego trybu to np. układy czasowe [...]" - Źródło, Wikipedia. Informacje dot. schematu/wartości elementów znajdziesz w nocie katalogowej lub w Wikipedii.

    Początkujący Elektronicy   18 Sie 2018 09:25 Odpowiedzi: 7    Wyświetleń: 624
  • Jak zbudować kolumny głośnikowe z obudową labiryntową na głośniku ARN 226-03/4?

    Bo dopiero przy 28Hz zaczyna się coś dziać (w sumie u mnie już od 24Hz),i tu nie ma znaczenia rodzaj obudowy. TL ma to do siebie że ma mniejszy spadek,i to jest słyszalne gdy się słucha muzyki a nie męczy głośnik generatorem pojedynczej częstotliwości. Robienie TL ma sens dopiero wtedy gdy uświadomisz sobie co ona tak naprawdę daje.

    Głośniki i Zestawy Głośnikowe   01 Lis 2020 21:25 Odpowiedzi: 322    Wyświetleń: 117827
  • Układ E-100-04H-3 Unitra Telpod - co to za hybryda z 15 nóżkami?

    Generator pojedynczego impulsu i 2 wejsciowa bramka NOR z otwartym kolektorem A tak apropo , jak już odnalazłem to jestem ciekaw w czym to było stosowane.

    Inne Serwis   05 Mar 2003 19:45 Odpowiedzi: 11    Wyświetleń: 2365
  • potrzebny generator pojedyńczych imulsów 0-5V , 1-200ms

    Z racji że mam bardzo ograniczoną możliwość kupić cokolwiek chyba się zdecyduję na bardzo prosty analogowy układzik. Znalazłem na alle... kit Generator pojedynczego impulsu AVT5795 https://obrazki.elektroda.pl/6570414000_... http://serwis.avt.pl/manuals/AVT5795.pdf Prosiłbym tylko o pomoc w doborze elementów, żeby to działało od ok...

    Projektowanie Układów   29 Paź 2021 09:13 Odpowiedzi: 10    Wyświetleń: 366
  • Jak zbudować metronom na NE555 z regulacją 10-200 BPM?

    Monostable - generator pojedynczego impulsu Astable mode - generator nieskończonego ciągu impulsów duty - to nie jest tryb pracy,np duty cycle: obowiązujący w danym czasie (występujący) cykl. Może być wyrażenie duty mode itd. jeszcze dodam bistable mode tak jak przerzutnik- 2 stany stabilne.

    Początkujący Elektronicy   04 Maj 2005 20:19 Odpowiedzi: 5    Wyświetleń: 1832
  • Jak podłączyć sygnał do wzmacniacza operacyjnego z zasilaniem pojedynczym?

    W drugim schemacie są dwa błędy: - brak kondensatora pomiędzy generatorem a R1, - brak kondensatora blokującego sztuczną masę - równolegle do R3.

    Początkujący Elektronicy   31 Sie 2005 21:02 Odpowiedzi: 5    Wyświetleń: 3818
  • Jak obliczyć bilans mocy w generatorze funkcyjnym opartym na kicie AVT?

    Tak. Uważnie czytaj notę, gdzie podano "Supply current" - czy podano dla całego układu scalonego czy dla pojedynczego wzmacniacza.

    Początkujący Elektronicy   26 Cze 2012 13:33 Odpowiedzi: 10    Wyświetleń: 2038
  • Synchronizacja dwóch sygnałów w oscyloskopie RIGOL 1102ZE - tryb AUTO, CH1 i CH2

    Jak większość oscyloskopów, posiada on pojedynczy generator podstawy czasu i to jest standardowy tryb pracy. Zaryzykuję stwierdzenie, że w większości urządzeń elektronicznych nie stanowi to problemu, bo wszystkie sygnały zegarowe pochodzą z jednego źródła (tak jak w Twoim generatorze) i choć może występować pomiędzy nimi przesunięcie fazowe, to ich...

    Warsztat elektronika   28 Sie 2022 13:36 Odpowiedzi: 6    Wyświetleń: 447
  • 555 generator wysokiego napięcia - problem z przegrzewaniem tranzystorów

    Tranzystory Darlingtona działają wolniej niż pojedyncze, dlatego nie są dobrym wyborem do tego układu.

    Początkujący Elektronicy   02 Mar 2018 13:34 Odpowiedzi: 36    Wyświetleń: 8043
  • Jakim urzadzeniem sprawdzić sprawność układu scalonego "cyfrowego" np.

    Ten z pierwszego rysunku pod warunkiem że znacznie zwiększysz C2 (spróbował bym 2,2µF) bo teraz generuje częstotliwości akustyczne a tak szybkich zmian nie zaobserwujesz multimetrem. Potrzebujesz powiedzmy 0,1Hz do 1Hz (1 impuls na 10s - 1 impuls na s). Nie jest potrzebny tranzystor ani głośnik sygnał bierzesz z nogi 3. Całość zasilasz tym samym...

    Początkujący Elektronicy   02 Cze 2018 09:11 Odpowiedzi: 13    Wyświetleń: 2574
  • Schemat układu: 2 impulsy 1s/1s po podaniu stałego sygnału na wejście

    może to nie jest najlepszy pomysł ale może coś takiego multiwibrator np na 555 generujący na stałe prostokąt, drugi układ ( w zasadzie tez może być 555) załączalby np jedynkę lgiczną przez czas ok 4-5 sekund oba sygnały na bramkę typu and. chyba , że generator pojedynczego impulsu z dzielnikiem? pozdrowienia

    Początkujący Serwisanci   25 Paź 2005 23:01 Odpowiedzi: 1    Wyświetleń: 870
  • Jak wykryć zwarcie w cewce poprzez generator sygnału prostokątnego i oscyloskop?

    Ponieważ jeden gościu napisał że najprościej wykryć zwarcie oscyloskopem i generatorem sygnału prostokątnego. Ponoć wykrywa nawet pojedyncze zwarcie.

    Elektronarzędzia   14 Lis 2022 18:27 Odpowiedzi: 2    Wyświetleń: 273
  • Czy TL072 jako generator wymaga zasilania symetrycznego +/-12V?

    Czyli układ zawsze w zastosowaniu generatora może być zasilany napięciem pojedynczym?

    Projektowanie Układów   01 Sty 2009 18:34 Odpowiedzi: 3    Wyświetleń: 1852
  • Jak sprawdzić tranzystory bipolarne miernikiem uniwersalnym?

    Dla staszeks-ten przyrząd to był generator impulsów pojedynczych polskiej produkcji-firmy juz nie pamiętam,pamiętam za to jak mi szczena opadła na takie rozwiazanie.

    Początkujący Elektronicy   19 Paź 2005 22:57 Odpowiedzi: 55    Wyświetleń: 66446
  • Jak dobrać rezystor do kondensatora 100nF, by otworzyć obwód na 5s?

    potrzebuję otworzyć obwód na 5s a po tym czasie ma się on ponownie zamknąc, jak tego dokonać? nada się kondensator?? Stosując tylko RC tego nie wykonasz. Zastosuj uniwibrator (generator pojedynczego impulsu), wybór w scalakach masz wielki. W zależności od tego jaki obwód chcesz włączać, dobierasz układ wykonawczy np przekaźnik.

    Początkujący Elektronicy   29 Wrz 2009 07:57 Odpowiedzi: 3    Wyświetleń: 1016
  • Kupię Zegar DCF ze sterownikiem

    Może wystarczy to:[url=http://www.eph.pl/product/id/737... albo to: [url=http://www.elkoep.pl/zegary-steruj-... Generator pojedynczego impulsu można prosto wykonać poza samym zegarem. Należy tylko podać parametry tego impulsu jego napięcie i czas trwania. Czasem wystarczy kilka elementów.

    Ogłoszenia Elektronika   24 Lut 2011 15:36 Odpowiedzi: 3    Wyświetleń: 1986
  • zegarek "śmigłowy"

    Z tego co pamiętam to można by zastosować generator najlepiej pojedynczego impulsu o czasie us czy ms. W swojej płytce zasilacza zostawiłem lukę na goldpiny pomiędzy generatorem a tranzystorami sterującymi IRF-em.

    DIY Konstrukcje   01 Lut 2013 19:12 Odpowiedzi: 149    Wyświetleń: 46216
  • Jak zbudować zegar do układów cyfrowych z przerzutnikami i licznikami?

    Witam. Do generatora pojedynczego impulsu nadaje się CD 4047. Czas trwania impulsu liczysz na podstawie wzoru : t = 2,48 RC, gdzie R i C są wartościami elementów dołączonych do pin 1, 2 i 3. Układ wymaga wyzwolenia np. mikrołącznikiem (mikroswitch). Jeśli chcesz inne układy, to wpisz " gaszenie drgań zestyków " i google znajdzie. Pzdr.

    Początkujący Elektronicy   08 Lip 2006 16:44 Odpowiedzi: 18    Wyświetleń: 3987
  • Jaki czujnik do obrotomierza w dieslu – montaż na kole pasowym czy zamachowym?

    Jakieś 10 lat temu zrobiłem obrotomierz do starego diesel-a... Przy wakupompie było koło pasowe z 2 otworami symetrycznie (w sumie to nawet mało istotne) co wykożystałem jako przerywacz w optobarierze. Dioda nadawcza od pilota a z drugiej strony odbiorcza od TV, wzmacniacz operacyjny, wyjście zapietę diodą w kierunku przewodzenia a impulsiki wyzwalały...

    Samochody Elektryka i elektronika   18 Kwi 2009 13:16 Odpowiedzi: 22    Wyświetleń: 23676
  • Transformacja energii wiatru na elektryczność: projekt drzewka z liśćmi-generatorkami

    Powiedzmy, że jestem tradycjonalistą technicznym. Drzewo dające prąd - tak? Czemu "pnia" nie zrobić w formie pionowej turbiny cylindrycznej z pojedynczym generatorem i maksymalnie lekką i delikatną (mało surowców) turbiną pionową? A gałęzi nie zastąpić panelami słonecznymi, ustawionymi tak, by optymalizować powierzchnię padania światła? Tu można "zgapić"...

    Na pograniczu nauki   22 Paź 2023 23:10 Odpowiedzi: 4    Wyświetleń: 369
  • Jak zbudować układ 4 świateł startowych zapalanych sekwencyjnie co 1 sekundę?

    do licznika jest tam dołączony chyba bufor To tylko dekoder - nie da prądu :( Ale mosfety już tak - ile zechcesz :) https://obrazki.elektroda.pl/4224449900_... Pokazany przykład działa tak, że po uruchomieniu cyklu przyciskiem I1 włącza co 1s kolejne diody. Sercem układu jest licznik Johnsona - każdy impuls wejściowy włącza kolejne...

    Początkujący Elektronicy   20 Maj 2025 13:42 Odpowiedzi: 34    Wyświetleń: 768
  • Schemat układu opóźniającego załączenie przekaźnika 12 V o 7 minut - jak to zrobić?

    Dokładnie ustala się okresem generatora analogowego. Stabilność czasu zależy tylko od generatora analogowego. Widziałeś kiedyś generator inny niż analogowy? Masz na schemacie - to prosta bramka, klasyczny analogowy generator c-mosa. Podaj parametry generatora na pojedynczym inwerterze 40106 stabilność w dziedzinie czasu, napięcia zasilania i temperatury...

    Początkujący Elektronicy   09 Cze 2024 16:44 Odpowiedzi: 39    Wyświetleń: 1683
  • Jak zasilić lewą górną część schematu generatora funkcji z TL074/TL084?

    Takie coś np. W tym układzie mamy "prawdziwą" masę i wymaga trafa ze środkowym odczepem. Można też wykonać zasilanie symetryczne z trafa bez odczepu środkowego. Sztuczna masa polega na wprowadzeniu linii o środkowym potencjale i wystarczająco niskiej impedancji przy zasilaniu pojedynczym. Czyli mogą to być np szeregowe rezystory albo jeszcze lepiej...

    Początkujący Elektronicy   06 Lut 2024 22:30 Odpowiedzi: 16    Wyświetleń: 324
  • Jak podać sygnał TTL na MH7490, aby licznik działał poprawnie?

    Witam! Można póbować za pomocą generatora pojedynczych impulsów (broń Boże zwykłego przełącznika - ze względu na iskrzenie styków leci seria impulsów) podawać kolejno impulsy na wejście 14 pierwszego licznika i mierzyć stany na pinach: 12, 9, 8, 11. Następnie przeciąć ścieżkę łączącą pin 11 z pinem 14 następnego licznika i mierzyć stany na pinach itd....

    Początkujący Elektronicy   15 Mar 2016 15:40 Odpowiedzi: 6    Wyświetleń: 1239
  • włącznik (na przekaźniku) z opóźniaczem - poszukuję schematu

    Szczerze mówiąc nie widzę problemu... Przycisk powinien być w układzie zasilania 555 (tak, żeby włączenie przycisku powodowało zasilenie 555, a jego zwolnienie odłączenie od zasilania) - to będzie Twój "reset", a dalej za układem włącznik bistabilny do realizacji funkci "włącz, aż następny impuls Cię nie wyłączy". W takim wypadku bez układu liczącego,...

    Projektowanie Układów   08 Sie 2006 07:15 Odpowiedzi: 22    Wyświetleń: 5348
  • Przeróbka układu NE 556 na odstraszacz komarów 25kHz

    Witam Możesz zrobić pojedynczy generator. Będzie równie skuteczny, a przy tym niesłyszalny.

    Projektowanie Układów   24 Sie 2009 22:19 Odpowiedzi: 5    Wyświetleń: 2569
  • projekt i wykonanie urządzenia elektronicznego POMOCY!!!

    Musze wykonac urzadzenie aby spełniało jedno z ponizszych tematów 1 sprawdzenie bramek logicznych 2 sprawdzenie praw de morgana 3 badanie przerzutnikow JK, T, D, RS 4 licznik cyfrowy 5 dzielnik czestotliwosci 6 sumator 7 multiplekser lub demultiplekser 8 rejestr 9 multipleksowy system transmisji informacji 10 generatory pojedynczego impulsu 11 generatory...

    Projektowanie Układów   02 Kwi 2006 17:48 Odpowiedzi: 1    Wyświetleń: 2040
  • Uszkodzenie generatora wysokiego napięcia 3-6V 400kV Step-Up - przyczyny, optymalizacja pracy

    (at)preskaler To nie jest prąd przemienny, trafopowielacz z TV zawiera diody prostownicze, gdyby na wyjściu podłączyć pojemność, zachowywał by się realistyczny generator piorunów, ale energia pojedynczego wyładowania była większa (nie chciał bym być takim czymś porażony)

    Początkujący Elektronicy   09 Paź 2022 16:38 Odpowiedzi: 38    Wyświetleń: 1626
  • Schemat generatora 465kHz z filtrem ceramicznym p.cz AM - jak zrealizować?

    Myślę że możesz tu użyć gotowego dławika ok 100uH lub więcej. Schemat jest z neta - nie robiłem tego układu. Możesz się również pokusić o wykonanie takiego generatora z pojedynczego funktora-negatora CMOS. http://hem.passagen.se/communication/pic...

    Radiotechnika Początkujący   06 Gru 2009 12:44 Odpowiedzi: 5    Wyświetleń: 6332
  • Czy schemat blokowy przetwornicy buck 3-30V 5A z L4975A jest poprawny?

    Dziękuje za odpowiedzi w temacie zasilania. Pozostaje kwestia rozwiązania przyjaznego dla użytkownika sterowania wydajnością napięcia zasilacza. Proszę Was o opinie na temat takiej idei: Włącznik (switch) W1 zmieniałby źródło impulsów: - generator ciągu impulsów - do szybkiej zmiany napięcia lub - generator pojedynczego impulsu - do precyzyjnej zmiany...

    Układy Zasilające   10 Lip 2007 07:56 Odpowiedzi: 179    Wyświetleń: 59447
  • Jak zbudować prosty generator do modułu MC14499 do zliczania impulsów?

    To nie jest licznik, licznikiem jest 4553 licznik trzy-dekadowy wymaga jeszcze dekodera do sterowania wyświetlaczami. Są też pojedyncze dekady liczące, z dekoderem 4026 albo bez 4029.

    Początkujący Elektronicy   19 Lut 2021 18:45 Odpowiedzi: 9    Wyświetleń: 471
  • Generator fali prostokątnej 1Hz - problem z symulacją układu NAND Schmitta

    Ja zawsze do generacji takich niskich częstotliwości stosuje generator na wyższą częstotliwość (łatwiej generują, stabilniejsza praca, R większy więc łatwiej zastosować np. potencjometr do regulacji częstotliwości, C mniejszy), potem dzielę czymś co mam pod ręka np. 7493/90/92/393 itp. Nie stosuję generatorów na pojedynczej bramce, często stwarzają...

    Początkujący Naprawy   22 Kwi 2010 21:39 Odpowiedzi: 8    Wyświetleń: 5313
  • Jak zaimplementować eliminację drgań styków przycisku START w S7 PLC?

    Rozumiem, że chcesz programować sterownik przy pomocy języka strukturalnego i zgodnie z IEC61131? Praktycznie w tym języku są realizowane wszystkie instrukcje sterownika. Wobec tego trzeba sprawdzić jak nazywają się parametry wywołania. Nie znam tego sterownika, który używasz za to korzystam z ST. Ja wywołuję licznik czasu w ten sposób (generator pojedynczego...

    Automatyka Przemysłowa   09 Lut 2012 14:32 Odpowiedzi: 21    Wyświetleń: 6916
  • Schemat generatora 40kHz 50W do myjki ultradźwiękowej - poszukiwany

    Pojemność nie ma znaczenia, będzie działało za słabo to albo zwiększa ilość przetworników albo zmniejszę naczynie, chodzi mi o schemat generatora na pojedynczy przetwornik 50W ewentualnie może tez być schemat na 1x100W lub 2 wyjścia po 50W. Generalnie same przetworniki nie są drogie i można troche pokombinować, ale generatory do nich to juz inna bajka...

    Początkujący Elektronicy   24 Lis 2015 20:35 Odpowiedzi: 8    Wyświetleń: 15666
  • blackout -wyjaśnienie - nagłe wyłączenie wszystkich odbiorników

    To inaczej zapytam: Pomnijmy system elektroenergetyczny. Powiedzmy mamy pojedynczy generator w laboratorium z obciążeniem. I czy teraz : W przypadku nagłego wyłączenia obciążenia: Turbiny generatora przyspieszą a wiec zwiększy się częstotliwość (ponad 50hz) czyli nastąpi rozsynchronizowanie generatora oraz wzrost napięcia spowodowane wzrostem częstotliwości....

    Elektryka Dla Każdego   13 Kwi 2015 21:22 Odpowiedzi: 28    Wyświetleń: 3576
  • Elektroniczna kostka do gry na układach UCY

    No to mi pomogłeś. ;-) Nie mam książek i czasopism "z dawnych lat". Mam tylko wolną bramkę UCY7400. Ale w czym problem bo się pogubiłem troszeczkę ? Chodzi o to jak ma wyglądać generator na pojedynczej bramce ? Nie ma tu zbyt wielu możliwości: bramka w układzie negatora+2 elementy rezystor i kondensator: jak włączone chyba wiadomo

    DIY Warsztat   26 Lis 2018 10:37 Odpowiedzi: 17    Wyświetleń: 4602
  • Jak zbudować generator impulsu piłokształtnego o czasie 2.5ms?

    Witam, mam takie pytanko. Czy jest możliwe zbudowanie generatora, który będzie dawał pojedynczy impuls w kształcie piły o czasie trwania ok 2.5ms Proszę o szybką odpowiedź.

    Projektowanie Układów   29 Lip 2006 19:41 Odpowiedzi: 8    Wyświetleń: 3840
  • Atmega 32 jako sterownik PLC - jak zrealizować opóźnienia załączania/wyłączania?

    Witam Chciałbym użyć atmegi jako sterownika PLC (coś na wzór LOGO Siemensa) chce wykorzystywać następujące funkcje ( opóźnienie załączania ) ( opóźnienie wyłączania ) ( przerzutnik RS reset/set ) ten jest chyba ( generator impulsów ( przerzutnik stabilny z opóźnieniem ( generator pojedynczego impulsu ( licznik w górę ) ( licznik w dół ) największy problem...

    Mikrokontrolery AVR   04 Kwi 2010 11:26 Odpowiedzi: 2    Wyświetleń: 3863
  • Prosty nadajnik FM wykorzystujący pojedynczy tranzystor

    Jak można zmieniać częstotliwość nadawania?? Dodano po 25 w tym nadajniku Dodano po 42 czy to jest sam generator??

    DIY Zagranica   04 Sie 2023 21:43 Odpowiedzi: 226    Wyświetleń: 115940
  • Urządzenie do przerywania prądu stałego po określonym czasie - jak się nazywa?

    Więc musisz zobaczyć na "generator pojedynczego impulsu o czasie trwania 1s do 2s" Na ne 555 lub na cyfrowych cd4093. Bardziej prymitywne bez układów scalonych to kondensator elektrolityczny rzędu 1000-2200 mikrofaradów jest podłączony do napięcia 12V i naładowany. Przełącznik (a nie wyłącznik) przełącza kondensator miedzy plusem 12V a obwodem przekaźniczka...

    Elektryka Instalacje i Sieci   09 Maj 2008 15:18 Odpowiedzi: 5    Wyświetleń: 1541
  • Jak zbudować generator impulsu 300ns na układzie 74123?

    Proszę o podpowiedź - jak wykonać generator pojedynczego impulsu, który ma pracować w sposób następujący: Układ na wejście dostaje 1 logiczną, czyli idzie zbocze narastające i w tym momencie ma wygenerować pojedynczy impuls prostokątny o długości ok. 300ns, póżniej pomimo, że na wejściu nadal jest 1, to na wyjściu po wygenerowaniu impulsu ma być 0 i...

    Początkujący Naprawy   15 Lis 2009 04:58 Odpowiedzi: 8    Wyświetleń: 2958
  • Jak uzyskać napięcie zmienne 48V z baterii przy użyciu generatora 4069?

    Witam Jak mają być dwie połówki to potrzeba transformatora z podwójnym uzwojeniem i dwóch tranzystorów. Środek uzwojenia do plusa, a oba końce przez tranzystory do masy. Można też zastosować transformator z pojedynczym uzwojeniem i cztery tranzystory w układzie mostka. Tak czy inaczej kształt napięcia wyjściowego to prostokąt.

    Początkujący Elektronicy   11 Cze 2005 21:25 Odpowiedzi: 16    Wyświetleń: 1737
  • Odbiornik lotniczy - pytania o schemat, podłączenia i komponenty

    Zamieszczając schemat nie zauważyłem błędu jaki zrobiłem, przerabiając schemat z pojedynczą przemianą. Niestety było już za późno na zmianę starego postu. Dodałem też osobny generator II p.cz. ponieważ z generatorem pracującym na TCA440 były problemy.

    Radiotechnika Serwis   30 Lip 2008 20:20 Odpowiedzi: 57    Wyświetleń: 38245
  • Różnice między generatorami WN na jednym i dwóch tranzystorach 2N3055?

    Witam! Widziałem w internecie schematy generatora WN, jeden zbudowano na pojedynczym 2n3055, a drugi na dwóch..... czym one się różnią? Ten z dwoma 2n3055 wytwarza dwa razy większe napięcie? Z góry dziękuję za odpowiedź.

    Początkujący Elektronicy   06 Sie 2008 12:04 Odpowiedzi: 9    Wyświetleń: 3648
  • Najprostsze generatory na bramkach logicznych 4011 - materiały / książki

    Witam. Dział w sam raz, więc temat tutaj zostawię. Jeśli znacie / znajdziecie przystępne materiały (książki, artykuły) o najprostszych generatorach budowanych na pojedynczych bramkach logicznych (schematy, wzory, obliczenia, teoria) będę wdzięczny za podlinkowanie. Dla jasności - nie chodzi o rozwiązania bardziej wyspecjalizowane (np. na popularnej...

    Początkujący Elektronicy   12 Paź 2017 11:10 Odpowiedzi: 30    Wyświetleń: 4911
  • Prosty odbiornik KF - Elementy odpowiedzialne za częstotliwość

    W antku potencjometr jest zasilany ze stabilizowanego napięcia, tu nie, stabilizacja obniży napięcie i ograniczy zakres regulacji, to może być zaleta. Nigdy nie widziałem schematu z dwiema różnymi diodami pojemnościowymi w jednym filtrze, bądź generatorze, bo ten sam efekt dadzą dwa potencjometry, dające różne zakresy zmian napięcia na (pojedynczej)...

    Początkujący Elektronicy   01 Lis 2022 12:44 Odpowiedzi: 18    Wyświetleń: 1182
  • Podłączenie syntezy PLL Pira.cz do nadajnika Weronica – schemat i wskazówki

    Witam jak podlaczyc ta synteze PLL http://pira.cz/pll.htm z nadajnikiem weronica http://www.pink.art.pl/radio/circuit/ver... kiedys probowalem i synteza nie dzialala ale pod innym nadajnikiem z pojedynczym generatorem tak.

    Radiotechnika Serwis   08 Paź 2007 08:17 Odpowiedzi: 30    Wyświetleń: 3435
  • Monitorowanie napięcia 3-9VDC - jaki układ będzie czytelniejszy :)

    Monitor budowany na tranzystorach bipolarnych czy TL431 mija się z celem, ponieważ sam doprowadza do rozładowania akumulatora czy baterii. To musi być układ pobierający pojedyncze mikroampery czy nawet nanoampery. Wystarczy do tego jakiś prosty i tani układ CMOS z bramkami Schmitta jako generator kluczujący właściwy monitor analogowy.

    Początkujący Elektronicy   22 Maj 2024 13:17 Odpowiedzi: 62    Wyświetleń: 1134
  • Usterki w chińskich generatorach myjek ultradźwiękowych - przyczyny i porady naprawcze

    Czy sterownik ma ustalane czasy pracy? Jaki jest maksymalny? Elementy piezo mocy nie mogą pracować na stałe z pełną mocą, podobne urządzenia mają ustalone czasy pracy, od pojedynczych sekund (kilowaty) do kilku minut (20W), być może Twoje już są uszkodzone :(

    Początkujący Elektronicy   19 Lis 2022 00:48 Odpowiedzi: 102    Wyświetleń: 3777
  • Jak połączyć chwilowe i opóźnione włączenie przekaźnika na płytce uniwersalnej?

    Cześć. Albo nie doczytałem albo nie napisałeś, co chcesz osiągnąć? Chcesz żeby po włączeniu zasilania przekaźnik włączył się z opóźnieniem, a po odłączeniu zasilania rozłączył się też po kilku sekundach? Jeśli tak, to układ z pierwszego linku jest dobry, tylko rezystor rozładowujący musi mieć większą wartość. Dobrałbym doświadczalnie. Jednocześnie trzeba...

    Początkujący Elektronicy   29 Sty 2018 01:28 Odpowiedzi: 12    Wyświetleń: 2478
  • Stabilizator LM7812 - regulacja napięcia wyjściowego.

    Mam w planie przygotować sobie taki układ do używania go w przyszłości jako zasilacza do wzmacniaczy operacyjnych. A w przyszłości wzmacniacze zasilane zasilane z tego układu po to, bo chciałbym sobie przygotować jakieś proste generatory sinusa, trójkąta, piły i prostokąta. Stąd moje uporczywe starania o dość dokładne 12V. A z tych pojedynczych generatorów...

    Początkujący Elektronicy   06 Cze 2015 19:22 Odpowiedzi: 30    Wyświetleń: 6729
  • Jak zbudować prądnicę rowerową z cewką i magnesami neodymowymi do ładowania USB?

    Tu jest amatorska prądnica gdzie autor osiągnął 5W, oparta na popularnym amatorskim rozwiązaniu zwanym amerykanka https://hackaday.io/project/163674-conta... Widać że wytworzenie 5W nie jest takie łatwe, byle jakie magnesy na szprychach i byle jaka pojedyncza cewka nie dadzą nawet 1/10 potrzebnej mocy.

    Początkujący Elektronicy   06 Lip 2024 12:04 Odpowiedzi: 15    Wyświetleń: 441
  • Jak zrealizować stan wysoki po 12 min na wyjściu układu 555?

    A co ma być wcześniej i dalej? Przed wyzwoleniem ma być stan wysoki, potem 12 min stan niski i potem stan wysoki aż do kolejnego wyzwolenia? Jeśli tak, to wystarczy zanegować obecny sygnał wyjściowy. Zależnie co to ma robić dalej można wykonać to bramką NOT, tranzystorem, czy jeszcze inaczej.. Dodano po 2 Hmm, teraz jeszcze patrzę na Twój schemat i...

    Początkujący Elektronicy   08 Sie 2020 13:27 Odpowiedzi: 24    Wyświetleń: 969
  • Jak zbudować cyfrowy miernik obrotów silnika indukcyjnego na ICL7107?

    Nawet z tym 24imp/obrót dajesz czas zliczania 2,5s i dostajesz co 2,5 s wynik z rozdzielczością do 1 obrotu na minutę. Jak użyjesz generatora bramkującego na 4060 z kwarcem, to możesz liczyć na dokładność rzędu pojedynczych obrotów na minutę. A tak szczerze mówiąc, to precyzyjne wyłapywanie tej ostatniej cyfry to chyba nie ma specjalnego sensu, bo silnik...

    Projektowanie Układów   12 Kwi 2005 18:03 Odpowiedzi: 11    Wyświetleń: 2685
  • Co to za urządzenie i do czego służy?

    http://www.radiotoy.de/02--produkte/e-pu... Czym jest impuls elektryczny: urządzenie elektryzujące. Powstała nazwa E-Pulse: E, ponieważ elektryczny i pulsuje, ponieważ ten generator prądu ryżu emituje pojedynczy impuls.

    Inne Co kupić?   11 Sty 2020 13:02 Odpowiedzi: 1    Wyświetleń: 459
  • Jak wygenerować pojedynczy impuls 1s z NE555 do sygnalizacji otwarcia drzwi?

    Poszukaj na forum pod hasłem 'generator monostabilny na ne555' .

    Projektowanie Układów   23 Sty 2015 00:23 Odpowiedzi: 5    Wyświetleń: 2196
  • Jak zbudować generator impulsów zapłonowych z kostką 555?

    urzadzenie to musi miec wspolpracujace ze soba wezelki: 1- generator pojedynczego impulsu -o nastawnej szerokosci- konkretnie musi to byc zasilacz o wydajnosci moze do 5A i napieciu moze -12V-15V i uklad elektroniczny sterujacy tranz. polowy mocy ,ktory powinien miec dobrej jakosci cewke WN -np samochodowa -rel. tania i wys. jakosc . uklad elektroniczny...

    Projektowanie Układów   24 Cze 2006 11:42 Odpowiedzi: 11    Wyświetleń: 4689
  • Jak zaprojektować układ do sterowania dwoma wyświetlaczami DCD?

    Ten dekoder BCD -> 7seg to jaki typ? Można użyć 7447 (wyjścia typu otwarty kolektor), wywalić te negatory, na nodze każdej katody wyświetlacza dać obliczony rezystor. Nie możesz zasilać wspólnych anod z wyjścia pojedynczych bramek w 7400 - nie dadzą rady (można je wykorzystać do generatora). Zastosuj klucze tranzystorowe. Wejść lepiej nie zostawiać...

    Początkujący Elektronicy   16 Mar 2013 11:01 Odpowiedzi: 4    Wyświetleń: 1365
  • Projekt generatora sygnału prostokątnego 1Hz-1MHz w PsPice, TTL/555/ICL8038

    Nie symulowałem tego układu w PsPice. Do symulacji użyj zwykłych pojedynczych wzmacniaczy operacyjnych .

    Projektowanie Układów   20 Mar 2008 00:04 Odpowiedzi: 4    Wyświetleń: 3317
  • Jak rozszerzyć pasmo UKF w radyjku ABA po wymianie tranzystora S9018?

    A brałeś pod uwagę czułość wejściową miernika? Przecież napięcia na generatorze lokalnym są na poziomie pojedynczych mV.

    Radiotechnika Początkujący   15 Sty 2010 22:38 Odpowiedzi: 17    Wyświetleń: 8280
  • Dokładny pomiar zmian rezystancji, najlepiej "względnej

    To dodaj do tego tranzystor albo pojedynczą bramkę-są jakieś takie smd pojedyncze ale nie pamiętam ich symboli. Zrobi z tego prostokąt. Albo op_amp podwójny. Stabilność częstotliwości generatora Wiena jest ok. 10-3 - może to wystarczy. No i nie używasz ADC do pomiaru. I nie musisz wzmacniać sygnału z "fragmentu" opornika.

    Mikrokontrolery   30 Paź 2010 22:46 Odpowiedzi: 23    Wyświetleń: 3057
  • Spawarka TYROS 251 - spawa maksymalnym prądem i niema regulacji

    Spawarka jak w temacie niema regulacji prądu spawannia, i caly czas spawa maksymalnym prądem. Napięcia zasiliające normalne, +5V i +-15V (około), generator na pojedynczej płytce generuję prostokąty ... Nie wiem jak sprawdzić ogranicznik prądu spawania na wzmacniaczach operacyjnych, na wyjściu jego jest regulacja, ale w małym przedziale. Co możecie doradzić...

    Elektro Spawarki i Prostowniki   19 Maj 2015 06:18 Odpowiedzi: 5    Wyświetleń: 1977
  • Funkcjonowanie generatorów PV w sieci on-grid przy wyłączeniu prądu na trafo

    Dzięki za sugestię to wiele tłumaczy. Teraz będę czytał materiały od (at)slawo12311 , przy czym z najkrótszym znalazłem zdanie: Falownik okresowo zmienia charakter generowanej składowej biernej prądu (...) bez generacji mocy biernej średnej Co to jest moc bierna średnia? Czy chodzi o to że jest generowane tyle samo var pojemnościowej co indukcyjnej...

    Energia Odnawialna   26 Wrz 2023 18:01 Odpowiedzi: 20    Wyświetleń: 831
  • Treść twierdzenia o zastępczym generatorze napięć - gdzie znaleźć?

    Myślę, że Kamil240 pisał raczej o tw. Thevenina (Nortona), które mówi o zastąpieniu dowolnej sieci pojedynczym źródłem

    Początkujący Elektronicy   26 Mar 2004 17:57 Odpowiedzi: 7    Wyświetleń: 1726
  • Niskobudżetowy 3-fazowy generator prądu i napięcia

    Powodem dla którego powstał generator była chęć ułatwienia pracy podczas sprawdzania przekaźników nadprądowych i zabezpieczeń mocy zwrotnej. Generator może być użyty do sprawdzania urządzeń pomiarowych wymagających 3 fazowego zasilania , ustawianej częstotliwości i przesunięcia fazowego między prądem a napięciem (np. liczników energii , watomierzy...

    DIY Konstrukcje   11 Paź 2024 07:26 Odpowiedzi: 14    Wyświetleń: 3621
  • Elementy półprzewodnikowe z CEMI-stosować czy lepiej nie?

    Kiedyś zbudowałem sobie zegar na układach TTL i do dziś dznia działa bez zarzutów ;) No dobra ma małą wadę ale to moja wina ;)Opis można zobaczyć [url=http://www.elektroda.pl/rtvforum/to... . I co dało się? Jasne że się dało! Układów analogowych używam głównie w urządzeniach radiowych. Wiele z nich jest tanią alternatywą dla układów...

    Początkujący Elektronicy   02 Paź 2004 08:45 Odpowiedzi: 9    Wyświetleń: 2888
  • Schemat generatora w.cz. 100kHz-30MHz do torów pośrednich AM - opinie i alternatywy

    Może by tak generator DDS? Np. AD9851. Przestraja się od pojedynczych Hz nawet do 70MHz. Wymagany jest jednak mikrokontroler. http://www.sp2swj.sp-qrp.pl/DDS2_VFO_BFO...

    Radiotechnika Początkujący   30 Sty 2012 20:01 Odpowiedzi: 19    Wyświetleń: 13202
  • Czy AVR może wyświetlić obraz 1024x768 na monitorze 15 LCD?

    Witam Gdzieś widziałem terminal na AVR z rozd. 640x480 i 800x600 na jakiejś chyba niemieckiej stronce. Jak znajde linki to podeśle. Terminal wykorzystywał port SPI w związku z czym miał wyjście 1 bitowe oraz odstępy w poziomie między znakami (bo SPI w AVR musi mieć 1 takt przerwy). Tak więc nie tędy droga. Bez zewnętrznych kostek pamięci statycznej...

    Mikrokontrolery   21 Lis 2007 09:08 Odpowiedzi: 8    Wyświetleń: 3575
  • Kontroler do sterownika DRV8834 dla autofocusa w teleskopie - gdzie znaleźć?

    Może coś takiego jak niżej? Generatorek na bramce z histerezą. W pojedynczym scalaku są takie 4, więc je wykorzystałem do innych celów. Zasilanie 5V z popularnego LM7805. Generowane impulsy będą coś koło kilku na sekundę - jeśli potrzebujesz szybciej, to zmniejsz kondensator C2, np. do 100nF, albo zmniejsz potencjometr i rezystor R1. Układu nie testowałem,...

    Początkujący Elektronicy   18 Lut 2019 12:15 Odpowiedzi: 20    Wyświetleń: 600
  • Gdzie kupić tani klucz Windows 10 Home po zmianie płyty głównej?

    Wymieniając pojedyncze komponenty nie powinno być potrzeby ponownej aktywacji Windowsa - użyj starego klucza. Zamiast wydawać 24 zł równie dobrze możesz użyć klucz z jakiegoś generatora. Najtańszy legalny: https://www.proshop.pl/System-o...

    Komputery Co kupić?   09 Kwi 2025 16:21 Odpowiedzi: 6    Wyświetleń: 192
  • Budowa generatora piły na StR85 - minimalny prąd katody i działanie układu?

    A jak częstotliwość ma być regulowana to warte rozważenia są tyratrony małej mocy, tam stałym napięciem siatki można regulować moment zapłonu, czyli w praktyce częstotliwość. Mam EN91, aż mnie korci by się pobawić. Możnaby i prosty generator m.cz. sklecić - wszakże regulacja odbywać się będzie pojedynczym potencjometrem... :idea:

    Elektronika Retro   04 Lis 2008 22:05 Odpowiedzi: 17    Wyświetleń: 3207
  • Jak zrobić, by buzzer na 12V dawał pojedynczy sygnał dźwiękowy? Szukam schematu

    Schemat; przy tych wartościach R1 i C1 piszczy ok.1sek, od razu po włączeniu zasilania. https://obrazki.elektroda.pl/2896669700_... Jeżeli ma piszczeć dłużnej/krócej przy wartości kondensatora C1=100µF, w pierwszym linku wyżej, w sekcji "Doing the Calculations", jest wzór na obliczenie wartości rezystora R1. 100µF - trzeba...

    Początkujący Naprawy   14 Sie 2019 21:51 Odpowiedzi: 7    Wyświetleń: 1818
  • Jak zbudować szerokopasmowy generator VFO 1-30 MHz z wymienną cewką?

    Ponieważ nie da się zyskać przestrajania generatora LC w pojedynczym zakresie 1-30 MHz, wypadałoby podać, ile maksymalnie podzakresów może/ma być. Ilość podzakresów nie jest ważna, tzn. nie jest ograniczona. Jedyne, co ważne, to żeby przełączanie podzakresów odbywało na cewce, bez przełączania, dzielników pojemnościowych, sprzężeń pojemnościowych itp.

    Radiotechnika Serwis   29 Sie 2009 18:35 Odpowiedzi: 21    Wyświetleń: 13459
  • Generator funkcji XR2206 - czy zakres 0.25Vrms do 2Vrms wystarczy do testów audio?

    Obie wersje są użyteczne w przypadku sprzętu audio. Ta do 2 Vrms pozwoli Tobie testować typowe wzmacniacze od wejścia sygnału (ale nie gramofonu) aż do stopnia końcowego. Natomiast druga wersja, do 700 mVrms pozwoli na sprawdzenie przedwzmacniacza gramofonowego z wkładką dynamiczną dającą czasami sygnał wyjściowy na poziomie pojedynczych miliwoltów....

    Początkujący Elektronicy   06 Sty 2018 21:10 Odpowiedzi: 1    Wyświetleń: 846
  • Generator 5 KHz z regulacją amplitudy 0-1V do sterowania LED wskaźnikiem audio

    Koledzy, potrzebuję zrobić prosty generator 5KHz z regulacją amplitudy 0-1 V na zasilaniu pojedynczym. Ten generator będzie służył tylko do sterowania ledowego wskaźnika poziomu sygnału audio. Wskaźnik, który mam pokazuje tylko do 5 KHz i pomysł mam taki, że wyfiltruję z sygnału audio górne pasmo powyżej 5 KHz i chciałbym, żeby ta górna część sterowała...

    Projektowanie Układów   23 Sty 2023 08:35 Odpowiedzi: 4    Wyświetleń: 213
  • Układ astabilny na ne555. Czy ten układ ma prawo działać?

    To znaczy. o co ci z mailem chodzi? Skrzynkę sprawdziłem, pojawiła się odpowiedź na twoje pytanie.. Takie rzeczy to pisz na PM. Może to Cię trochę oświeci. 555 posiada trzy tryby działania: Monostabilny: w tym trybie, 555 funkcjonuje jako wyzwalany generator pojedynczego impulsu. Zastosowania tego trybu to np. układy czasowe, wykrywacze brakującego...

    Optoelektronika   02 Sty 2011 12:46 Odpowiedzi: 10    Wyświetleń: 7339
  • Ocena schematu lampy 6P3S i możliwość umieszczenia regulacji barwy dźwięku

    Sprawdzony wstępnie. Wysokie tony chodzą b. dobrze (do 10 kHz). Teraz czas na kolumnę, bo niskich na prowizorce nie sprawdzę. Z generatora (50-500Hz) chodzą nieźle. Na pojedynczym głośniku GDN za słabe.

    Elektronika Retro   11 Lis 2021 17:56 Odpowiedzi: 30    Wyświetleń: 2718
  • Jak przerobić Fiata 126p na elektryczny z zasięgiem 30-50 km?

    hmm.. marek nie wiesz moze gdzie w lodzi sa sklepy gdzie moglbym dostac owy sprzet? btw. i co to jest to PWM ? :P Pulse width modulation czyli modulacja szerokości impulsu - na obciążenie zamiast sygnału o określonym poziomie podajesz na zmianę wartość maksymalną i zero. Zależnie od stopnia wypełnienia sygnału (czyli im dłuższy jest okres wystawiania...

    Samochody Elektryczne   25 Lut 2015 23:10 Odpowiedzi: 1022    Wyświetleń: 314377
  • LG CK-28H80 - Diagnostyka transformatora impulsowego i sterownika STR-F6654

    Myślisz dobrze, tylko że to sposób na sprawdzenie zwarć miedzyuzwojeniowych a nie międzyzwojowych. Tu raczej taki problem nie wystąpi. Mając generator i multimetr możesz zmierzyć metodą techniczną indukcyjność pierwotnego uzwojenia trafa. Jeśli jest w granicach pojedynczych milihenrów to najprawdopodobniej trafo jest OK. Przy zwarciu międzyzwojowym...

    TV Początkujący   08 Lut 2013 12:10 Odpowiedzi: 8    Wyświetleń: 4840
  • Różnice między oscylatorem a rezonatorem kwarcowym - wyjaśnienie funkcji

    witam !! oscylator kwarcowy jest to generator kwarcowy , zaś rezonator kwarcowy jest elementem określacym częstotliwość pracy oscylatora . w skład każdego oscylatora kwarcowego wchodzi rezonator kwarcowy . rezonator jest to pojedynczy element a oscylator jest to zbiór elementów pozdrawiam !!

    Projektowanie Układów   05 Paź 2005 18:16 Odpowiedzi: 2    Wyświetleń: 6725
  • Jak napisać sterownik PWM do LED w VHDL?

    Pisałem PWMkę jako urządzenie peryferyjne do Microblaze. Poniżej kod pojedynczego generatora PWM: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; library UNISIM; use UNISIM.VComponents.all; entity PWM is Port( clk : in std_logic; rst : in std_logic; preset : in std_logic_vector(7...

    Programowalne układy logiczne   20 Kwi 2008 11:47 Odpowiedzi: 26    Wyświetleń: 3441