generator signal

Znaleziono około 437 wyników dla: generator signal
  • Czy chiński generator FM jest wart zakupu? Wasze opinie i doświadczenia

    Heh. Dochodzimy to magii: jak to jest, że rzekomo głupi chińczycy zalewają świat swoimi produktami? No ale cóż, jest jak jest :) To cię może zaciekawić: https://www.youtube.com/watch?v=m44x4L7K... Tu jest CIUT danych: https://www.amazon.com/0-5Mhz-470Mhz-Sig... Tu też: https://all4radios.com/signal-generator-...

    Warsztat elektronika   18 Gru 2024 09:08 Odpowiedzi: 74    Wyświetleń: 3174
  • Kod błędu H07 w pralce Panasonic NA14VA1 - co oznacza?

    http://codeerrorwashingmachine.blogspot.... CODE H07 Tacho generator signal from motor irregular or out of range Check wiring to motor tacho Check motor wiring Replace motor Replace electronic control PCB

    AGD Początkujący   08 Gru 2016 12:41 Odpowiedzi: 6    Wyświetleń: 3381
  • Nie znalazłeś odpowiedzi? Zadaj pytanie Sztucznej Inteligencji

    Czekaj (1min)...
  • Opel Astra 1,7 DTH 2005 - Jak naprawić błąd P0621 (obwód L lampy alternatora)?

    Generic OBD Error Code Details for P0621 Generator Lamp/L Terminal Circuit What does this mean? OBD Code P0621refers to The Engine Control Module (ECM) or Powertrain Control Module (PCM) uses the generator turn on signal circuit to control the load of the generator on the engine. A high side driver in the ECM/PCM applies a voltage to the voltage regulator....

    Samochody Elektryka i elektronika   16 Lip 2015 21:37 Odpowiedzi: 7    Wyświetleń: 4857
  • REKLAMA
  • Generator LC. Czy dysponuje ktoś ciekawymi schematami?

    Zerknij na te dwa schematy. Gen1 - od 150kHz do 10M a podziałem na 6 zakresów, Gen2 - od 100kHz do 650kHz (ale 1MHz wyciśniesz. Do obu mam opisy. Inne, które nie zamieściłem: - od 50kHz do 2MHz na 3 tranzystorach, - inne proste generatory na 2 tranzystorach, - signal-generator od 100kHz do 40MHz z podziałem na 5 zakresów na 4 trnzystorach, troszkę trudniejszy,...

    Radiotechnika Serwis   30 Mar 2005 19:03 Odpowiedzi: 11    Wyświetleń: 5921
  • Generator 3 sinusów 50Hz przesuniętych o 120 stopni - wzmacniacz operacyjny czy pamięci z D/A?

    Można wykonać analogowo. Przykład: [url=https://www.homemade-circuits.com/t...

    Projektowanie Układów   13 Maj 2023 11:36 Odpowiedzi: 12    Wyświetleń: 1005
  • Jak zbudować tani generator akustyczny na AVR z regulacją częstotliwości?

    http://www.myplace.nu/avr/minidds/index.... http://www.scienceprog.com/avr-dds-signa...

    Projektowanie Układów   25 Maj 2008 19:16 Odpowiedzi: 24    Wyświetleń: 3906
  • Jak wprowadzić wartości zespolone źródła napięcia w TINA TI dla układu RLC?

    Nie znam dobrze tego programu ale sprawdź: Wybierasz źródło: Voltage Generator Wybierasz Signal. Klikasz dwa razy na pole wyboru i otwierają Ci się Signal Edytor I tam wybierasz przebieg sinusoidalny. W pole Amplitude wartość napięcia z danych W pole Phase wpisujesz to co stoi za j w danym napięciu. I powinieneś mieć ok. Daj znać czy się udało.

    Nauka Elektroniki, Teoria i Laborki   19 Lut 2017 15:02 Odpowiedzi: 6    Wyświetleń: 1524
  • REKLAMA
  • Szukam schematu generatora/oscylatora czystego sinusa 1Hz, układ LC, kubki ferrytowe Al=6300, 8600.

    Dlatego najlepszym rozwiazaniem bedzie uzycie taniego modulu DDS. Autor nigdy nie zbuduje analogowego generatora dajacego signal 1 Hz lepszy sygnal niz DDS.

    Początkujący Elektronicy   27 Lip 2024 13:50 Odpowiedzi: 51    Wyświetleń: 1221
  • Crocodile Physics – gdzie znaleźć źródło napięcia zmiennego (AC) w programie?

    W programie symulacyjnym crocodile nie mogą znaleźć źródła napięcia zmiennego, trochę dziwne, symbol baterii istnieje, regulowane źródło napięcia też. (at)ElektrodaBot Bezpośrednia odpowiedź W Crocodile Clips / Crocodile Technology (także w nowszym Yenka) klasyczne „źródło AC” nie występuje jako osobny symbol tak jak w LT- Spice czy Multisim. Napięcie...

    Początkujący Naprawy   17 Maj 2025 16:41 Odpowiedzi: 1    Wyświetleń: 57
  • Opinie o oscyloskopie FNIRSI 1014D do serwisu sprzętu audio Unitra?

    Powiedzmy ok 1000 bez problemu Marzenie do 1000zł spełni ten Hantek (jeśli ma być z generatorem): https://pl.banggood.com/Hantek-DSO2D15-D... Fnirsi sobie odpuść. A co sądzicie o UNIT-T np UTD2025CL , UTD2052CEX+ Uni-T UTD2072CL...

    Warsztat co kupić?   28 Cze 2024 16:44 Odpowiedzi: 17    Wyświetleń: 4284
  • Generatory sygnałowe, akustyczne, funkcyjne - różnice i zastosowanie w naprawie radioodbiorników

    Ponoć to jest niezłe: https://www.banggood.com/UNI-T-UTG932-UT...

    Warsztat co kupić?   26 Gru 2020 13:00 Odpowiedzi: 25    Wyświetleń: 2016
  • Co elektronik może kupić na Aliexpress?

    Ja kupiłem już sporo na ali, wiadomo że trzeba porównywać ceny bo różnie można trafić, z lepszych rzeczy które kupiłem polecam generator https://pl.aliexpress.com/item/Dual-chan... U nas prawie 2* droższy :(

    Tematy tygodnia   21 Cze 2025 22:53 Odpowiedzi: 2119    Wyświetleń: 247890
  • Wspólny projekt generatora DDS na elektroda.pl

    [url=https://www.hackster.io/umar-sear/a... based DDS Signal generator using AD9851

    DIY w budowie   31 Paź 2019 10:08 Odpowiedzi: 175    Wyświetleń: 17046
  • REKLAMA
  • Moduł generatora PWM 1Hz-150kHz HW-753

    Podajecie wiele ciekawych zastosowań, o których nie pomyślałem, może moduł powinien trafić do gadżetów elektroda.pl? (at)gulson Opis sterowania UART jest tutaj: https://protosupplies.com/product/xy-lpw...

    Artykuły   24 Maj 2024 19:49 Odpowiedzi: 17    Wyświetleń: 4056
  • [kupie] "BLACK BURST" signal generator

    Kupie "BLACK BURST" SIGNAL GENERATOR w rozsadnej cenie w systemie PAL info na PW

    Ogłoszenia Elektronika   01 Cze 2008 21:33 Odpowiedzi: 1    Wyświetleń: 1263
  • AS 952 - brak stereo po przestrojeniu, miga dioda SIGNAL

    Częstotliwość generatora jest ustalana potencjometrem R171. To co bierzesz za SIGNAL to właśnie dioda STEREO.

    Audio Serwis   11 Kwi 2008 23:39 Odpowiedzi: 2    Wyświetleń: 1088
  • Poszukiwany generator do pomiarów wzmacniaczy m.cz. i napraw radioodbiorników

    Nie musi to być to gotowe urządzenie - moduł, czy zestaw do złożenia w zupełności mnie zadowolą o ile są takie jak mi potrzebny Jeśli jesteś otwarty na "kombinowanie", to coś można ugrać :) Do radioodbiorników: https://obrazki.elektroda.pl/9440866600_... https://pl.aliexpress.com/item/329986423... "The HM370 is a small signal generator...

    Warsztat co kupić?   26 Paź 2024 19:52 Odpowiedzi: 43    Wyświetleń: 4899
  • DEYE SUN-3.6K-SG03LP1-EU – różnica między sygnałami GV i GS do startu agregatu

    Przeglądając instrukcję do DEYE SUN-3.6K-SG03LP1-EU https://www.deyeinverter.com/deyeinverte... mam jeszcze niejasność, o co chodzi z sygnałami GV/GS sterującymi agregatem? W instrukcji (strona 10 wg numeracji na dole lub 12 PDF-a) piszą tak: "GV/GS: dry contact signal for startup the diesel...

    Energia Odnawialna   16 Maj 2025 14:45 Odpowiedzi: 5    Wyświetleń: 201
  • Utwórz schemat obwodu układu scalonego K1102AP1 na podstawie fotografii: zidenty

    What do you want to do with it? I found datasheet and translated description with google translator: Microcircuits are designed to work in non-contact keyboards consoles for information input of computer equipment, systems automation, means of organizational technology, information systems. K1102AP1 - Non-contact sensor signal generator. https://obrazki.elektroda.pl/3778860200_...

    Początkujący Elektronicy   29 Maj 2023 16:08 Odpowiedzi: 3    Wyświetleń: 576
  • Alan 28 - usunięcie fabrycznego ograniczenia modulatora

    I use oscilloscope and signal generator.

    CB Radio   12 Lip 2022 16:38 Odpowiedzi: 34    Wyświetleń: 3207
  • Czy warto kupić chiński generator DDS? Modele UDB1002S, UDB1003S, MHS-3200A, FY3200S

    Leci do mnie właśnie generator za $7 zbudowany wokół XR2206, dam znać jak działa jak przyjdzie: https://www.aliexpress.com/item/Function...

    Warsztat co kupić?   28 Gru 2016 20:55 Odpowiedzi: 18    Wyświetleń: 2844
  • Generator VHF STANDARD SIGNAL GENERATION TYPE TAS 21: Nieprawidłowy przebieg sinusoidy

    dodaję jeszcze foty odpowiednio dla przebiegów 10, 20, 60 i 100 MHz, https://obrazki.elektroda.pl/2471281300_... https://obrazki.elektroda.pl/6946165100_... https://obrazki.elektroda.pl/2270213100_... https://obrazki.elektroda.pl/9381232800_...

    Warsztat elektronika   26 Lut 2021 16:32 Odpowiedzi: 4    Wyświetleń: 687
  • Strojenie odbiorników radiowych Unitra - 88-108MHz

    Szukam generatora do strojenia odbiorników głównie Unitry (zakres FM). Alternatywy dla Zopana PGS-21 PGS-21 to FM stereo signal generator, jedyną alternatywą jest zakup innego FM stereo signal generator-a. Na ebay-u są dostępne- ale kupić poniżej 2,5 k pln będzie ciężko. Czasami udaje się kupić na Tajwanie w okolicy 2,5 k pln ale trzeba obserwować...

    Warsztat co kupić?   14 Wrz 2020 19:52 Odpowiedzi: 9    Wyświetleń: 1761
  • DDS generator z elektora. Szukam schematu, pcb i opisu.

    Szukam schematu,pcb i opisu do generatora z elektora (10.2003)-"DDS RF Signal Generator".Pozdrawiam Arek

    Radiotechnika Serwis   11 Lip 2007 20:52 Odpowiedzi: 5    Wyświetleń: 1988
  • Federal Signal AS-380 generator sygnałów

    Witam. Pytanie już chyba zapomniane, ale nie będę nowego wątku zakładał bo chodzi właściwie o to samo, ale o model AS-320. Z tego co się zorientowałem to jest problem z jednym z przekaźników, który "nie łapie". Gdy się mu pomoże i przytrzyma styk to wszystko działa, ale normalnie to "zaskakuje" tylko czasami. Byłbym wdzięczny za jakiś schemat do tego...

    Radiotechnika Serwis   02 Gru 2009 21:25 Odpowiedzi: 2    Wyświetleń: 2494
  • Jak przerobić Attiny2313 DDS do sterowania bez komputera?

    Trudno cos wskazywac - bo program jest tak prosty ze glowa boli. Glowna petla to zaledwie 6 instrukcji wysylajacych tablice na Port B... do tego w przerwaniu prosta obsluga komunikacji z PC ktora w twoim wypasku jest cala do wywalenia. Zreszta przerobka zalezy od tego jak bedzie wygladac docelowo elektronika. Natomiast co do tego czy ktos sie znajdzie...

    Mikrokontrolery   13 Lut 2012 22:55 Odpowiedzi: 7    Wyświetleń: 1587
  • Jak zrealizować wejście różnicowe w filtrze aktywnym na wzmacniaczach operacyjnych?

    "If this method is to be tried, use the trimming option, so the supply feed resistors can be catered for. Alignment with a battery will no longer be possible, and a signal generator will have to be used - with coupling capacitors to each signal line." Jeśli ta metoda ma zostać wypróbowana, użyj opcji z małym potencjometrem (montażowym) nastawnym (chodzi...

    Audio Estradowe, Sceniczne, Studyjne   23 Lut 2006 08:21 Odpowiedzi: 10    Wyświetleń: 1609
  • Szukam generatora impulsów prostokątnych z możliwością regulacji

    Witam. Proponuję wejść na chiński portal np. AlieExpress i wyszukać "signal generator". Są tam fajne kity już od 1,5 dolara. Do kupienia również w Polsce.

    Początkujący Naprawy   06 Mar 2019 07:41 Odpowiedzi: 13    Wyświetleń: 624
  • Zadajnik prądu, 4-20mA Generator Sygnału - Test / Recenzja / Opis

    A czy ktoś sprawdzał taki zadajnik? bardziej uniwersalny by się zdawało Handheld Current Voltage Signal Generator Analog Simulator Output 0-10V 0-20mA https://pl.aliexpress.com/item/Handheld-... https://obrazki.elektroda.pl/7575735000_...

    Artykuły   24 Sty 2019 21:29 Odpowiedzi: 46    Wyświetleń: 11580
  • Jaki program do testowania subwoofera i generowania częstotliwości dźwięku?

    W WinISD jest generator sygnałów (Utilities->Signal Gen.[Alt+S])... :)

    Audio Estradowe, Sceniczne, Studyjne   17 Lip 2008 22:40 Odpowiedzi: 2    Wyświetleń: 2361
  • Generator funkcyjny serwisowy DDS

    Przecież w linku, który podałem jest wszystko, a nawet więcej bo są zrzuty z oscyloskopu. Jest o chińska kopia: [url=https://scienceprog.com/avr-dds-sig...

    DIY Konstrukcje   18 Cze 2018 07:06 Odpowiedzi: 19    Wyświetleń: 7464
  • Generator sygnałowy PG-19 – posiadam schemat i instrukcję, co warto sprawdzić?

    I have schematic of signal generator PG-19, and main instruction. TANKS !

    Radiotechnika Serwis   17 Gru 2009 17:53 Odpowiedzi: 2    Wyświetleń: 1712
  • Jak zbudować generator przebiegów na mikrokontrolerze Atmega16?

    Witam Tu masz generatorek DDS sterowany z PC: http://www.scienceprog.com/avr-dds-signa... http://www.myplace.nu/avr/minidds/index....

    Projektowanie Układów   05 Sty 2008 19:14 Odpowiedzi: 1    Wyświetleń: 1116
  • program do generowania sygnału

    Może to Ci się nada :) Virtins Sound Card Signal Generator 3.1 http://www.eprogramy.net/eprogramy/progr...

    Ogłoszenia Elektronika   26 Lis 2010 06:30 Odpowiedzi: 4    Wyświetleń: 4491
  • Golf III 1,9 TDI '94 - świece, problem z odpalaniem auta

    Przede wszystkim skąd wiesz, czy świece grzeją? Podepnij się miernikiem lub żarównką na świece i skontroluj ich faktyczną pracę. W moim golfie 4 tdi kontrolka od świec zapala się na kilka(2-3) sekund tylko przy temperaturze około -10. W praktyce jest tak, że auto lepiej pali przy -10 niż przy -5, bo przy niskiej temp. świece naprawdę grzeją. Proponuję...

    Samochody Elektryka i elektronika   31 Sty 2006 20:21 Odpowiedzi: 5    Wyświetleń: 5390
  • Amplituner Kenwood KRF-V5020 zero dyskryminatora LA1837

    Strojenia powinno się dokonywać przy użyciu generatora sygnałowego . Procedura jest taka że radio jest w mono , ustawione na częstotliwości 98MHz , na wejście antenowe podany jest sygnał 70dBf , 40kHz dev , a z wyjścia "REC OUT" sygnał podawany jest na miernik zniekształceń i oscyloskop . Typowy zestaw do pomiaru zniekształceń http://obrazki.elektroda.pl/1540725200_1...

    Audio Początkujący   10 Kwi 2011 21:08 Odpowiedzi: 7    Wyświetleń: 5487
  • Jak zbudować generator do strojenia CB z modulacją AM/FM?

    Jakiś tłumik, skrót mocy albo coś podobnego... . Macie jakieś pomyśły?? Generatory kwarcowe na kilka częstotliwości i VFO - przełączane. Dalej stopień separujący, wzmacniający, filtr dolnoprzepustowy, wstępny tłumik (aby zapewnić dobre obciążenie generatora i dobry SWR na wyjściu), przełączane tłumiki (mogą być zewnętrzne). Za wstępnym tłumikiem odczep...

    Radiotechnika Serwis   06 Sie 2008 11:39 Odpowiedzi: 21    Wyświetleń: 10497
  • Instrukcja i schemat generatora VHF TAS 21 No 57 Eureka Warszawa, lata 60-te

    Witam, poszukuję namiętnie instrukcji i schematu generatora (cytuję całość opisu ze skrzynki): VHF STANDARD SIGNAL GENERATOR Type TAS 21 No 57. Producent - Eureka Warszawa, lata chyba 60-te ubiegłego wieku. Będę wdzięczny za jakąkolwiek pomoc lub podpowiedź.

    Warsztat elektronika   24 Gru 2008 09:39 Odpowiedzi: 1    Wyświetleń: 1890
  • Czy ATMega162-16PI poradzi sobie z generatorem 200-500kHz?

    Zerknij tutaj http://www.scienceprog.com/avr-dds-signa... - jest to generator 1-64kHz pila, prostokat, sin, trojkat, szum. Zrobiono go na ATMega8 i DAC w postacie drabinki R-2R. Pozdrawiam. Bartek

    Mikrokontrolery   01 Paź 2006 17:27 Odpowiedzi: 13    Wyświetleń: 2460
  • Szukam generatora 15-40 kHz z funkcją sweep - wymagania i preferencje

    Może [url=https://www.aliexpress.com/store/pr... spełni Twoje wymagania.

    Inne Co kupić?   20 Sty 2019 11:34 Odpowiedzi: 4    Wyświetleń: 537
  • Cyfrowy generator częstotliwości dźwięku z regulacją głośności

    Witam, czy kolega zastanowil sie co napisal? "4. zakres czestotliwosci 0Hz - 1GHz, " - chyba sobie kolega nie zdaje sprawe z tego co to jest 1GHz? I to przeczy temu "1. wejscie na glosniki stereo - jack 3,5 ", jakie wyjscie ? sygnalu o czestotliwosci 1GHz na glosniki ? Przemysl co napisales i sprecyzuj o co ci chodzi. Jezeli to poprostu pomylka i zapewne...

    Inne Szukam   28 Wrz 2020 12:32 Odpowiedzi: 2    Wyświetleń: 447
  • Podstawowy układ elektryczny Zappera! Modyfikacja na zapper z timerem.

    Witam. Czy ten generator z linku poniżej może służyć jako zapper, urządzenie opisywane w postach powyżej? DDS Function Signal Generator Sine Square Triangle Sawtooth Wave Low Frequency New Arrival High Quality http://s.aliexpress.com/ERZZr2Qv (from AliExpress Android) Niestety chyba nie posiada funkcji przemiatania częstotliwości...

    Początkujący Elektronicy   09 Gru 2020 19:02 Odpowiedzi: 33    Wyświetleń: 32991
  • [Szukam] Serwisówki lub schematu końcówki mocy wzmacniacza Pioneer A-10AE

    The signal generator is set to 0.7Vpp 400Hz or 1000Hz and connected to the audio system input. 4 or 8 ohm blind load is connected to the amplifier output of the audio system. After the signal generator is adjusted as I said, it is directly connected to the scope probe. With the vertical-horizontal scroll bar, the horizontal line is adjusted to the middle....

    Audio Szukam   21 Mar 2021 13:34 Odpowiedzi: 18    Wyświetleń: 630
  • Generator funkcyjny 400kHz na ICL8038

    Witam Niestety od jakiegoś czasu mam uszkodzony oscyloskop, ale mam nadzieję że niedługo kupię uda mi się nabyć coś ciekawego, to na pewno spróbuję zrobić zdjęcia. Póki co można obejrzeć na stronie źródłowej przebiegi [url=http://www.scienceprog.com/avr-dds-... Pozdrawiam

    DIY Konstrukcje   04 Paź 2020 16:53 Odpowiedzi: 58    Wyświetleń: 37809
  • Głośniki 2.1 podłączone do laptopa - subwoofer nie działa

    No włączyłem ten signal generator; frequency od 30 do 10 000 Dałem start i czekam. Nie wiem czy jakiś raport mi się pojawi czy co? :P

    Audio Nagłośnienie Domowe   11 Lut 2012 15:10 Odpowiedzi: 29    Wyświetleń: 18182
  • 2 in 1 Dual Channel Signal Gen - Czy się nadaje do ustawienia skosu głowicy w magnetofonach kasetowy

    Witam serdecznie zaczynam przygodę z magnetofonami kasetowymi i mam takie małe pytanko : Czy model tego oscyloskopu nadaje się do ustawiania skosu głowicy ( 2 in 1 Dual Channel Signal Generator Desktop Oscilloscope 100MHz* 2 1GSa/s K8Q0 ) jeśli nie to może jakaś sugestia w rozsądnej cenie… https://obrazki.elektroda.pl/9477239100_...

    Audio Początkujący   11 Lis 2022 14:38 Odpowiedzi: 1    Wyświetleń: 219
  • Generowanie trzech przesuniętych fal sinusoidalnych - układ scalony bez programowania

    Nie mogę podawać linków, więc się dzielę zrzutem z ekranu https://obrazki.elektroda.pl/1828783000_... Opis jest następujący: Three-phase sine wave signal generator 0 to 360 ° frequency counter 1.00 ~ 200KHZ HS630 three-phase sine wave signal generator phase adjustable from 0 to 360 ° Description: HS630 is a three-phase sinusoidal signal...

    Początkujący Elektronicy   06 Maj 2021 18:32 Odpowiedzi: 11    Wyświetleń: 807
  • Oscyloskop Hantek DSO2D15 nie działa po paru minutach

    Witam. Zakupiłem nowy oscyloskop cyfrowy Hantek DSO2D15 Dual-Channel + AFG Digital Storage Oscilloscope 150MHz 1GSa/s Signal Generator Oscilloscope 2 In 1 i po wykalibrowaniu po prostu nie odpowiada na nic. Niekiedy pokazuje coś a niekiedy tylko przyciski świecą? Za jakąkolwiek podpowiedź z góry dziękuję

    Warsztat elektronika   27 Sie 2021 09:25 Odpowiedzi: 7    Wyświetleń: 2097
  • Karta dźwiękowa i PC jako amatorskie laboratorium

    Witam gwozdex Nie wyraziłeś się jasno do czego Ci ten generator jeśli to ma być zwykły generator sygnałowy to Twoje przemyślenia to przerost formy nad treścią.Wysoka cena niskie parametry.polecam Ci generator ze strony http://www.scienceprog.com/avr-dds-signa... go wykonałem i jest godny polecenia a stosunek ceny do jego możliwości...

    DIY Zagranica   29 Gru 2011 10:28 Odpowiedzi: 14    Wyświetleń: 50482
  • Różna rezystancja kolumn Wharfedale LX 215 - jak wyrównać dźwięk?

    No żarówka odpowiada za sam driver. Ściągnij sobie na telefon apkę "signal generator" i podawaj sygnał na kolumny stopniowo zwiekszajac czestotliwość. Wtedy bedziesz wiedział który tor gra ciszej.

    Początkujący Naprawy   18 Lip 2016 21:12 Odpowiedzi: 9    Wyświetleń: 576
  • Rekomendacje oscyloskopów dla początkujących w zakresie cenowym 100-300zł

    Ciekawostka od FNIRSI (trochę przekracza założony budżet) https://pl.banggood.com/FNIRSI-DPOX180H-...

    Warsztat co kupić?   19 Lis 2023 16:40 Odpowiedzi: 50    Wyświetleń: 3771
  • Regulacja częstotliwości w generatorze 555 AVT1327 - czy R1 jako potencjometr?

    Za 2,2$ to kupisz cały gotowy układ do samodzielnego złożenia jak Ci się nie spieszy ;> [url=https://pl.aliexpress.com/item/ICL8...

    Projektowanie Układów   07 Maj 2018 17:47 Odpowiedzi: 5    Wyświetleń: 3288
  • Oscyloskop - jakiej firmy i względnie jaki kupić oscyloskop cyfrowy?

    Dzięki za odpowiedź. Co do generatora to nie tylko audio, a raczej nie tylko sinus. Nawet do testowania wzmacniacza audio przydaje się prostokąt. Widzę że w cenie opcji generatora wbudowanego w oscyloskop można kupić to: [url=http://www.ebay.co.uk/itm/ATTEN-ATF...

    Warsztat co kupić?   25 Lis 2023 14:37 Odpowiedzi: 286    Wyświetleń: 38862
  • Oscyloskop Cyfrowy AVR v2.0 Atmega 32 LCD LS020

    Przed chwilą natchnąłem się na takie coś:) * Two channels, 8 bit 16MSPS Oscilloscope * FFT on acquired data * Eight Channel Logic Analyzer * Signal Generator * Frequency Generator * Multimeter * Volmeter * Ammeter * Ohmeter * L/C Meter * Logic Probe * Transistor Check * Calculator Szczegóły tutaj: [url=http://www.embedds.com/coma-the-inc...

    DIY Konstrukcje   26 Lis 2023 12:26 Odpowiedzi: 181    Wyświetleń: 98870
  • Czy warto kupić generator funkcyjny UDB1108S za nieduże pieniądze?

    Witam. Przymierzam się do zakupu generatora funkcyjnego za nieduże pieniądze. Co do parametrów to nie mam ich sprecyzowanych. Przeglądając internet natrafiłem na taki [url=http://www.ebay.pl/itm/UDB1108S-Dir... Czy warty...

    Warsztat co kupić?   09 Sie 2014 15:38 Odpowiedzi: 5    Wyświetleń: 1107
  • Zła jakość audycji radiowych UKF - przemodulowany sygnał, czy wada odbiornika?

    Jak dla mnie jest lekko rozstrojony... Przydał by się signal generator lub choć 2 tuner bez problemów. Podmienisz wtedy sygnały z głowic i raz dwa wyjdzie gdzie jest problem. Jeśli to przez natłok w eterze można dać lepszy filtr 10.7

    Radiotechnika Serwis   01 Sie 2013 07:02 Odpowiedzi: 28    Wyświetleń: 5424
  • Jaki generator funkcyjny DDS do 3 MHz wybrać do strojenia układów LC?

    Witam. Poszukuje generatorka funkcyjnego dla celów doświadczalnych. Zwracam się z prośbą o opinie może jakieś porady. Moje zapotrzebowanie to: f<3Mhz sinus i prostokąt przyzwoita amplituda stosunek ceny do użyteczności Na dzień dzisiejszy chciałbym używać go do strojenia układów LC Byłem u wujka google i wynalazłem takie coś: 3MHz DDS Function Signal...

    Warsztat co kupić?   25 Kwi 2011 09:43 Odpowiedzi: 2    Wyświetleń: 1726
  • Pralka Electrolux EWT1316 - Czy grzałkę załącza przekaźnik czy triak?

    Działa. Wymieniłem pk. Rzeczywiście, na załączenie grzałki, trzeba ładnych parę minut poczekać (nie wiem czemu taka logika). Smrodek zlokalizowałem, był pod zawiasami klapy. Są tam wspaniałomyślnie umieszczone kanały, w których zbiera się śmierdzące gluto-guano. W alarmach był E52 - No signal from motor tachometric generator Przypuszczam, że to jakiś...

    AGD Użytkowy   07 Lis 2024 21:34 Odpowiedzi: 15    Wyświetleń: 600
  • Podłączenie generatora FY8300S i oscyloskopu Hantek DSO4202C jako wobuloskop

    ...a masz wyjście sync out? Nie też nie ma, ja mam prostą wersję https://pl.banggood.com/FY3224S-(FY3200S... ale sweep ma, tylko że to mało użyteczne. Ma wyjścia ttl z tyłu, muszę zobaczyć co on tam daje ale spodziewam się że to samo...

    Warsztat elektronika   05 Lis 2022 19:34 Odpowiedzi: 19    Wyświetleń: 2046
  • Jaki subwoofer do wieży Philips MZ-7? Rekomendacje modeli i mocy

    Z otworu dmucha dosyć mocno. Czuć czasami powietrze na odległość 50 cm. To dobrze czy źle jak dmucha mocno czy nie da się tego określić jednoznacznie? Z generatorem nie robiłem testów bo przyznam się ze nie wiem jak:( Wchodzi się w zakładkę: -signal generator -frequency (jak ustawic) -włączyć swoja piosenkę czy słuchać tego co tam leci -jak ustawiać...

    Audio Domowe Jakie Kupić?   25 Sty 2012 14:36 Odpowiedzi: 112    Wyświetleń: 15146
  • Hyundai B 790+ brak obrazu, napięcia OK, żarzenie jest – co sprawdzić?

    Sprawdziłem, żadnych przebiegów a powinny być chociaż z generatora napisu "no signal" . Wydarłem ekran z tego scalaka tzn pierwszego na PK i zamalowany białą farbą nie odczytam co to jest widać tylko S1... 20D..i dalej cholera wie. Nie wiem czy jest do dostania to cudo.

    Monitory Serwis   09 Wrz 2006 08:17 Odpowiedzi: 5    Wyświetleń: 1056
  • Amica AWG6122SD - Brak sterowania grzałką, sprawdzone elementy, co dalej?

    Może pomiar częstotliwością?, Raczej napewno . Cytat z opisu podobnego do tego układu: The output signal from generator is given to analog input of the microcontroller. If there is no water in a drum the generated frequency is equal to 26.7 kHz and when the drum is fully filled its frequency is equal to 20.8 kHz. U mnie wygląda to tak: Pusty 44Hz pełny...

    AGD Początkujący   06 Sie 2024 19:35 Odpowiedzi: 11    Wyświetleń: 279
  • Shopee.pl dla elektroników - alternatywa dla Aliexpress i Allegro w Polsce?

    Na shopee.pl można kupić "coś" elektronicznego, ale to raczej - podstawowe/ "przypadkowe" części - gadżety elektroniczne - jakieś co najwyżej (kieszonkowe) mini-oscyloskopy czy generatorki - brak/ b.słaba oferta narzędzi do elektroniki choćby ćwierć-profesjonalnej Brak sensownej wyszukiwarki Rzeczy "poukładane" w przypadkowych kategoriach , tak jakby...

    Artykuły   13 Sty 2023 23:21 Odpowiedzi: 166    Wyświetleń: 17928
  • AEG L6472AFL - pobiera wodę ale kiedy ma przejść w następny etap nic się

    Sprostowanie drodzy Państwo. E52 No signal from tachymetric generator on motor (no signal after maximum time) Elektronika nie dostaje info od tacho. Brak szczotek to inny bład. Trzeba w pierwszej kolejności posprawdzać styki, blaszki stykowe (po stronie modułu i przy silniku), profilaktycznie przeczyścić i podoginać. Potem sprawdźmy silnik. Skoro szczotki...

    AGD Początkujący   08 Maj 2021 18:48 Odpowiedzi: 24    Wyświetleń: 816
  • AVR DDS - Jak zastąpić zasilanie symetryczne 12/-12V w lm358?

    Witam! Wykonałem ostatnio ten projekt: http://www.scienceprog.com/avr-dds-signa... niestety niezbyt orientuję się we wzmacniaczach operacyjnych, stąd pytania: -Czy można i ew.jak zastąpić zasilanie symetryczne 12/-12V ? -Który z potencjometrów odpowiada za offset ? Z góry dziękuję za wszelkie udzielone odpowiedzi.

    Początkujący Elektronicy   13 Kwi 2014 10:11 Odpowiedzi: 2    Wyświetleń: 1002
  • Poszukuje osobe która wykona projekt

    Poszukuje osobę z Poznania, która wykona generator funkcyjny na uC atmega8, potrzebuje projekt do końca tego tygodnia, gotowy projekt ze strony http://www.scienceprog.com/avr-dds-signa... chodzi mi aby generował tylko sin, trójkąt, piłę, prostkąt resztę można wyrzucić, obsługa wyświetlacza bez użycia układu 74hc164, wystarczy na 4-bitowej...

    Ogłoszenia Elektronika   27 Sty 2010 14:00 Odpowiedzi: 1    Wyświetleń: 1275
  • Jaki generator funkcyjny KKmoon FY6800 do 400 zł wybrać?

    Może na taki się skusisz?: https://pl.aliexpress.com/item/400001270... Ja mam FY6800. Na przesyłkę czekałem 2 tygodnie. Ale to bylo rok temu. teraz nie wiem...

    Warsztat co kupić?   15 Lut 2020 22:58 Odpowiedzi: 19    Wyświetleń: 2835
  • Jaki oscyloskop oraz inne przyrządy głównie do serwisu audio

    AVE... Generator audio możesz zrobić sam: http://kentar.net63.net/low-distortion-a... THD na poziomie 0,035-0,001% to niezły wynik jak na taki prosty układ. Co do sztucznego obciążenia, to takie rozwiązanie Ci wystarczy na początek, nie zapomnij o wentylatorze na radiatorze.

    Warsztat co kupić?   22 Cze 2015 10:19 Odpowiedzi: 36    Wyświetleń: 5727
  • Sonda pomiarowa do TESLA BS 367 Signal Tracer

    Naprawy, inaczej szukacz sygnału wcz i mcz, 'przedzwanianie' przewodów bo ma też generator i wskaźnik ciągłości przewodu.

    DIY Konstrukcje   23 Kwi 2022 18:03 Odpowiedzi: 20    Wyświetleń: 3117
  • Generator AVR DDS v. Artur K.

    Prawdę mówiąc nie wiem, przypuszczam że nie, dlatego że mój układ w stosunku do pierwowzoru z tej strony: https://scienceprog.com/avr-dds-signal-g... ma zmienione wyprowadzenia mikrokontrolera, dzięki czemu udało się zaprojektować taką płytkę jak widać. Tak jak było w oryginale, to trzeba byłoby dwustronną projektować - zupełnie bez sensu....

    DIY Warsztat   13 Kwi 2018 06:36 Odpowiedzi: 20    Wyświetleń: 11196
  • Ile otworów BR i jakie wymiary do kolumny basowej na GD 30/15?

    Witam Zamotowalem b-r o dlugosci 14cm i szerokosci 7cm. Przeczytalem post na temat strojenia, i u mnie przy 25 Hz (uzywalem signal generator z winiSD) slabo dmucha, lepiej gdy wyjme b-r i podglosnie na jakies 6/10 ale tez raczej slabo... jak zestroic ta kolumne sa jakies propozycje ?

    Audio Estradowe, Sceniczne, Studyjne   03 Cze 2006 07:42 Odpowiedzi: 6    Wyświetleń: 1014
  • Interfacing AVRmicrocontroller to ADC and waveform generator

    Hi, What is the signal frequency?

    Mikrokontrolery AVR   15 Lut 2017 13:34 Odpowiedzi: 1    Wyświetleń: 783
  • Generator arbitralny Feel Tech FY-6600-60M kontra FY-6800

    Thorgus: Czyli kupiłeś FY-6600. Gdzie kupiłeś i dlaczego wybrałeś FY-6600. Skąd pewność że ubite USB? Nie wiem jak FY-6600 ale mój 6800 średnio dogaduje się z oprogramowaniem DDS Signal PC Software.

    Warsztat co kupić?   03 Paź 2018 20:24 Odpowiedzi: 14    Wyświetleń: 5235
  • DDS,sinus,AVR drabinka rezystancyjna r2r jak wzmocnić sygnał

    Żeby był przemienny wystarczy użyć kondensator. Zasilanie wzmacniacza będzie potrzebne +/- i pamiętaj o bardzo dobrym odfiltrowaniu zasilania 5V, wszystkie śmieci i niestabilności będą przenosić się na sygnał. Masz tu parę stron na ten temat: http://www.scienceprog.com/avr-dds-signa... http://www.myplace.nu/avr/minidds/index.... Wzmacniacz...

    Projektowanie Układów   19 Sty 2008 12:44 Odpowiedzi: 8    Wyświetleń: 4771
  • CW1002C - Wachlowanie głośników przy połowie głośności, brak wytłumienia?

    Twój wzmacniacz ma około 65 Watów na kanał przy pełnym wysterowaniu i ta moc to bardzo niewiele. Pobrałem ten utwór i znam lepsze do testowania basu, dostępne są na elektrodzie. THX BASS - Ultimate subwoofer test. Poszukaj na google jak nie znajdziesz tu i odpal. Tam jest dużo niskiego basu, to sobie przetestujesz. Jak nie chcesz to ściągnij sobie WinISD...

    Audio Estradowe, Sceniczne, Studyjne   16 Lip 2005 19:28 Odpowiedzi: 21    Wyświetleń: 1454
  • Generator na NE5532 i "wyższa" częstotliwość

    Witam. Czy przy użyciu układu NE5532 uda się zbudować generator z mostkiem Wiena o częstotliwości rzędu kilkuset kHz? Jak właściwie interpretować "Small Signal Bandwith" lub "Unity-Gain Bandwidth" w nocie katalogowej...?

    Początkujący Elektronicy   24 Sty 2013 16:55 Odpowiedzi: 24    Wyświetleń: 2895
  • Znaczenie clean DC analog signal w książce o PIC32 Lucio Di Jasio

    Mówisz, masz - tłumaczenie z : "W związku z tym, jeśli mamy można dołączyć "idealne" filtr dolnoprzepustowy produkcji generatora sygnału PWM, aby usunąć wszystkie częstotliwości z podstawowych i zapasowych, możemy można uzyskać po prostu czyste DC sygnał analogowy której amplituda jest wprost proporcjonalny do cyklu cła." I tu z innego automatu: "Dlatego,...

    Początkujący Elektronicy   11 Gru 2010 11:19 Odpowiedzi: 2    Wyświetleń: 750
  • AVR - Jak zbudować generator arbitralny 10MHz z AD9850?

    Na 9850 nie zrobię, to wiem, bo robiłem zwykły generator, ale gdzieś widziałem 9833 czy 9832 wykorzystany w trybie arbitralnym po odpowiedniej konfiguracji. W zasadzie procesor korzystał wtedy wyłącznie z wbudowanego weń przetwornika DAC pomijając tablice wzorców przebiegów... Robiw Dodano po 10 Coś w tym stylu: http://moosteria.blogspot.com/2015/04/ad...

    Projektowanie Układów   21 Sie 2016 00:50 Odpowiedzi: 8    Wyświetleń: 1392
  • Jak działa generator arbitralny w Rigol DG1032Z?

    (at)tplewa czy przy QAM nie wchodzimy już w wektorowe generatory sygnałowe (VSG) oraz w przypadku analizy w VSA (vector signal analyzer), czy też jest to podzbiór AWG (arbitrary waveform generator)? Podobne mam spostrzeżenia co do ręcznie edytowanych przebiegów, najczęściej korzystam z gotowych + wejścia modulujące.

    Mikrokontrolery   01 Wrz 2017 08:41 Odpowiedzi: 24    Wyświetleń: 14241
  • TV Plazma Grundig Xephia 42PW110-550-obraz zaśnieżony

    Sprawdzę jeszcze dla świętego spokoju te napięcie -Vy (-75V) Znalazłem opis prawidłowego pomiaru, zamieszczam poniżej ale sugestia pana Zbyszka, że usterka jest w płycie sygnałowej, wydaje się najbardziej prawdopodobna. Checking the DC/DC pack voltage Convert the signal of signal generator to the 100% Full White signal - Connect the GND terminal of...

    TV LCD OLED Plazma Serwis   16 Sie 2010 17:57 Odpowiedzi: 8    Wyświetleń: 7001
  • telewizor JVC lata 70 z USA, prośba o pomoc w jego renowacji

    hmm a jest szansa, aby to jakoś przerobić ? to jest telewizor z początku lat 70, marka JVC.. ma też telewizor z końca lat 80, również JVC i działa prawidłowo, tzn odbiera sygnał. Dodano po 2 witam jeszcze raz. byłbym wdzięczny za wszelką pomoc przy wskrzesaniu tego telewizoru do użytku. znalazłem na internecie jakąś instrukcję przerobienia tego telewizora...

    Początkujący Naprawy   09 Gru 2009 09:02 Odpowiedzi: 13    Wyświetleń: 2952
  • Renkforce ST 9016: Brak odbioru UKF, niskie napięcia na tranzystorach

    Trzaski to może być kondensator ceramiczny. Jak nie masz generatora to zrób sobie najprostszy szukacz sygnału (signal tracer) taki na jednym tranzystorze, kiedyś był w kraju popularny fonotest.

    Początkujący Naprawy   12 Sty 2019 10:28 Odpowiedzi: 11    Wyświetleń: 837
  • Zmiana czasu 28/29 październik 2017 - czy mieliście jakieś awarie?

    Lokalne nadajniki DCF były nawet dostępne jako gotowe urządzenie: https://www.papouch.com/cz/website/news/... UPSy na "kole zamachowym" mają pewien ograniczony zakres zastosowań gdzie trudno je zastąpić innym rozwiązaniem (np. częste zaniki zasilania na lokalizacji).

    Projektowanie i Tworzenie Po godzinach   25 Lis 2017 10:25 Odpowiedzi: 86    Wyświetleń: 6006
  • Generator wielokanałowy (4kanały) DDS (lub nie) do 0-200kHz

    na początek możesz się też pobawić tym projektem , powielając go 4 krotnie i sterując jednym kwarcem mam tylko 1 kanłowy oscyloskop , niemam jak sprawdzić czy taki generowany przez taki zestaw kilku generatorów przebieg byłby naprawde zsynchronizowany, i czy tylko częstotliwościowo , czy także fazowo ? i czy przesunięcie fazowe utrzymywało by się zawsze...

    Mikrokontrolery   23 Kwi 2009 16:24 Odpowiedzi: 13    Wyświetleń: 5999
  • Tani generator częstotliwości AM i FM do strojenia starszych odbiorników

    (at)1pz kupiłeś go w sklepie, zawsze możesz oddać. Po prostu ten DDS nie jest wart więcej jak części z którego go zrobiono. Moim zdaniem wtopiłeś. Ale cóż wybrałeś opinię osoby z jednym postem (moim zdaniem to przedstawiciel sklepu), aniżeli osób z dorobkiem na forum. Prostokąt nie nadaje się do pomiaru modulacji i strojenia. Dodano po 2 (at)1pz oddaj...

    Warsztat elektronika   30 Paź 2016 09:05 Odpowiedzi: 25    Wyświetleń: 6339
  • Jak zrobić tani generator sygnału VGA do testowania monitorów?

    Witam, chce zrobić coś takiego: http://www.crackedraytube.com/images/sch... Potrzebuje takiego generatora sygnału VGA, akcja jest taka że muszę to zrobić maksymalnie po kosztach, może da się jakoś go pozyskać? Albo wyciągnąć z jakiegoś innego użądzenia? Prosze o pomoc, może jakiś schemat, dobry tutorial, jak dla laika... W schemacie który pokazuje...

    Początkujący Naprawy   19 Lip 2014 00:28 Odpowiedzi: 2    Wyświetleń: 1017
  • Dokumentacja do Rohde&Schwarz SMDF/SMDA BN 413115 i SMDF BN 41311

    Witam. Poszukuje dokumentacji do: Frequenzkontroler - SMDF/SMDA BN 413115 AM-FM Signal generator - SMDF BN 41311 Dokumentacja była dołączana do ww zestawu pomiarowego. Pozdrawiam !!! Podaj markę, symbol i rodzaj urządzenia w temacie !!! Posty bez powyższego w tytule, następnym razem, będą przenoszone do KOSZA.

    Schematu/instrukcji/artykułu   01 Gru 2005 07:17 Odpowiedzi: 3    Wyświetleń: 1604
  • [Kupię] federal signal as-380

    kupię generator federal signal vama as-380 używany bądz nowy w dobrej cenie

    Ogłoszenia Elektronika   02 Gru 2011 22:27 Odpowiedzi: 0    Wyświetleń: 892
  • VW T5 - Jak zamontować tempomat? Manetka, wiązka, kodowanie VAG, piny?

    Czy teraz mogę być w 100% pewny że tempomat będzie u mnie chodził_?? Tak.Kod deaktywacji to 16163-jak dobrze pamiętam ale nie ma potrzeby wyłączania go. Niestety tym kodem tez nie udalo sie go wylaczyc, i jak probuje znow zalączyć to zawsze wyskaują bledy i sam juz nie wiem czy tempomat jest aktywny czy nie:/ Moge to jakos sprawdzic czy jest aktywny?...

    Samochody Elektryka i elektronika   26 Kwi 2023 20:41 Odpowiedzi: 146    Wyświetleń: 109288
  • subwoofer na stx GDN-22-120-4-SC potrzebna pomoc!!!

    40 hz to jest bardzo niski bas zapusc sobie generator sygnalu - masz go wbudowany w winisd pod nazwa signal generator i wpisz tam 40 i nacisnij start to dowiesz sie co to jest 40hz. pozatym 40hz to optymalna czestotliwosc strojenia dla subwooferow. 40 hz nie moze byc duzo albo malo, czestotliwosc (f) to czestotliwosc drgania membramy. f od frequency....

    Audio Estradowe, Sceniczne, Studyjne   21 Sty 2006 22:32 Odpowiedzi: 8    Wyświetleń: 2400
  • [ATMega8] Jak skonfigurować Fuse bity dla DDS z scienceprog.com?

    witam, chcę zbudowac konstrukcję jak w linku poniezej: http://www.scienceprog.com/avr-dds-signa... ale nigdzie nie mogę znalezc opisu fuse bitów. Czy moze ktoś mi pomóc w ich odczytaniu na podstawie zawartych podzespołów i kodu źródłowego ? potrzebuję pilnie tej informacji... prosze o pomoc. ----------- Poprawiłem tytuł: http://www.elektroda.pl/rtvforum/topic10...

    Mikrokontrolery AVR   28 Maj 2008 15:44 Odpowiedzi: 10    Wyświetleń: 2990
  • Urządzenie do wykrywania zagłuszaczy GSM/GPS w promieniu 30-50 metrów - gdzie kupić?

    Nie obiecuje na 100% ze jutro - zobacze czy bede mial wolna chwile "na prywate" w firmie - ale do piatku na pewno wkleje... Jammer juz spakowany do plecaka ;) Dodam tylko ze to jammer za 30$ ( http://www.dx.com/p/qh-2-cellphone-wi-fi... ) wiec nie ma on wielkiej mocy - w sumie nie radzi sobie jak blisko...

    Początkujący Elektronicy   30 Mar 2016 18:54 Odpowiedzi: 52    Wyświetleń: 12435
  • Kolumny na Tes(t)lach ŁukaszaN -warto zobaczyć!!

    Witka Widzę że znowu cisza ale może ktoś pomoże. Przyszedł kumpel i podobało mu się jak się fajnie ruszają moje ARN-y to ja mu powiedziałem zaraz pokarzę ci jak się ruszają i włączyłem WinISD otworzyłem projekt z moimi ARN-ami włączyłem Signal generator sterowanie kursorem i ustawiłem częstotliwość gdzieś na 12Hz wzmacniacz rozkręciłem na 4/5 mocy i...

    DIY Akustyka   02 Sty 2009 22:48 Odpowiedzi: 82    Wyświetleń: 48094
  • Jak ustalić optymalne położenie cewki w głośniku GDN20/40?

    Witka Dzisiaj przyszedł kumpel i podobało mu się jak się fajnie ruszają moje dwa ARN-y 226/07 to ja mu powiedziałem zaraz pokarzę ci jak się ruszają i włączyłem WinISD otworzyłem projekt z moimi ARN-ami włączyłem Signal generator sterowanie kursorem i ustawiłem częstotliwość gdzieś na 12Hz wzmacniacz rozkręciłem na 4/5 mocy i w tedy to dopiero latały...

    Głośniki i Zestawy Głośnikowe   20 Kwi 2008 13:22 Odpowiedzi: 24    Wyświetleń: 15920
  • Szukam schematu dalmierza IR o zasięgu 5-6 cm do robota

    Czyli do pulse podłączam generator ok 40 KHz (np. NE555)? Natomiast linie signal do atmegi8?

    Robotyka Modelarstwo i CNC   27 Sty 2009 21:44 Odpowiedzi: 52    Wyświetleń: 7801
  • Zamiennik TL431B (0,1%) dla ATmega328 - gdzie kupić?

    Witam Chcę zwiększyć dokładność pomiarów urządzenia pomiarowago zbudowanego na ATmega328. https://www.ebay.com/itm/2017-Version-Tr... Planuję zastąpić żródło napięcia odniesienia TL431a które ma dokładność...

    Mikrokontrolery   29 Gru 2017 23:32 Odpowiedzi: 14    Wyświetleń: 990
  • Instrukcja obsługi do TF 1066B/I FM Signal Generator Marconi Instruments

    Witam! Poszukuję instrukcji obsługi do wyżej wymienionego modelu. Za wszelkie sugestie z góry dziękuję Pozdrawiam GK

    Schematu/instrukcji/artykułu   15 Lis 2004 15:43 Odpowiedzi: 0    Wyświetleń: 821
  • Jakie czujniki do wykrywania przeszkód dla robota do 20 zł?

    Diode IR mam i TOSP1736 też posiadam. Tylko proszę o schemat (generatora 36kHz) na ne555. PS: to jest ten schemat? http://obrazki.elektroda.net/15_12274338... A generator podpinam pod pulse a signal pod mikrokontroler??? Dodano po 43 NE555 też posiadam

    Robotyka Modelarstwo i CNC   29 Lis 2008 15:37 Odpowiedzi: 15    Wyświetleń: 3130
  • Audi A4 TDI 1995: Immobilizer nie rozpoznaje kluczyka, błędy VAG 17978, 1176

    Witam! Pojawił mi się ostatnio taki problem z immobilizerem: po włożeniu kluczyka nie rozkodowuje go to znaczy lampka nie gaśnie. Muszę poruszać trochę kluczykiem aby zaczęło działać, dzieje się tak tylko czasami, co może być tego przyczyną? VAG wyrzuca następujące błędy: błąd w engine: 17978 (P1570)Engine Control Module blocked INTERMITTENT 1117- -------Generator...

    Samochody Elektryka i elektronika   25 Maj 2006 09:16 Odpowiedzi: 3    Wyświetleń: 4995
  • Modelowanie oscylatora kontrolowanego cyfrowo z liniowym krokiem częstotliwości

    Może tak: library ieee; use ieee.std_logic_1164.all; entity clk_generator is port ( period : in time; clk : out std_logic); end entity clk_generator; architecture behavioral of clk_generator is signal clk_i : std_logic := '0'; begin clk <= clk_i; clk_gen: process begin wait for period/2; clk_i <= not clk_i; end process...

    Programowalne układy logiczne   20 Kwi 2009 11:47 Odpowiedzi: 5    Wyświetleń: 1698