REKLAMA

generator zegar

Znaleziono około 2426 wyników dla: generator zegar
  • Rigol DG1032Z - Jak działa generator arbitralny

    Trudno chyba wyobrazić sobie pracę elektronika, który nie dysponuje choćby najprostszym generatorem. Obok miernika uniwersalnego, zasilacza i oscyloskopu jest to podstawowe narzędzie warsztatowe. W dzisiejszych czasach elektroniczny sprzęt pomiarowy został niemal w stu procentach zdominowany przez przyrządy wykorzystujące technikę cyfrową. Trend ten...

    Mikrokontrolery   01 Wrz 2017 08:41 Odpowiedzi: 24    Wyświetleń: 13878
  • REKLAMA
  • Czy generator zegara może pełnić rolę kompensatora szumu fazowego

    Celem poniższego artykułu, jest pokazanie, że stwierdzenie, iż generator zegarowy nie jest w stanie czyścić sygnałów z jitteru (szumu fazowego) jest popularnym stereotypem. Nie chcemy go tutaj powielać, dlatego przedstawimy tutaj różnice pomiędzy typowymi generatorami przebiegów taktujących a układami do kompensacji jitteru. Przyjrzymy się bliżej także...

    Artykuły   25 Mar 2017 21:33 Odpowiedzi: 0    Wyświetleń: 1728
  • Generator zegara o niskim szumie fazowym

    Analog Devices wprowadza do produkcji nowy układ generatora i konwertera sygnału zegarowego. AD9525 to dedykowany do systemów LTE i GSM układ generujący do ośmiu wyjść zegarowych. AD9525 zapewnia do ośmiu wyjść LVPECL z sygnałem zegarowym. Układ charakteryzuje się niskim szumem fazowym oraz niewielkim poborem prądu. Wyposażono go w wbudowaną pętlę...

    Newsy   23 Paź 2012 09:25 Odpowiedzi: 0    Wyświetleń: 756
  • Spartan III i synchronizacja z wolnym zegarem

    zastosuj DPLL. XILINX opisuje to w XAPP868.pdf Wszystko zależy od tego, do czego kolega radarxxx zamierza wykorzystywać ten 12.8-megahercowy zegar. Taki DPLL co prawda wygeneruje coś zegaropodobnego, ale z paskudnym jitterem (rzedu 1 okresu zegara DDS-a). Zaproponowane przez Ciebie rozwiązanie świetnie nadaje sie jako niezbyt szybki CDR, ale nie jako...

    Programowalne układy logiczne   31 Mar 2010 09:49 Odpowiedzi: 7    Wyświetleń: 1341
  • Impulsator zegarowy. Sieć zegarowa 24V. Generowanie krótkich impulsów.

    Zibi, odpuść sobie ten schemat multiwibratora jako generatora sygnału do zegarów. Dokładność w czasie tego układu jest całkowicie niewystarczająca. Sygnał wzorcowy musi pochodzic z generatora kwarcowego, inaczej się nie da.

    Projektowanie Układów   20 Lut 2005 22:23 Odpowiedzi: 5    Wyświetleń: 2105
  • REKLAMA
  • układy cyfrowe zegar cyfrowy pomocy!(!!!!!!!!!!!!)

    Witam Sięgnij po jakiś katalog i wykorzystaj liczniki. Skróć im odpowiednio cykle liczenia i po sprawie. Bramki wykorzystasz do skracania cyklu i budowy generatora sygnału zegarowego. Zegar 12-godzinny będzie zawierał 3 liczniki - jednostek minut, dziesiątek minut i godzin. Do tego dekodery kodu BCD na kod wyświetlacza 7 segmentowego i jakiś sygnał...

    Początkujący Elektronicy   12 Gru 2006 09:12 Odpowiedzi: 6    Wyświetleń: 2088
  • Niskoszumny generator sygnału zegarowego.

    CDCM9102 to nowy ukłąd zegarowy firmy Texas Instruments. Charakteryzuje się on niskim jitterem (21ps pk-pk, 510fs jitteru losowego). Spełnia dzięki temu standardy transmisji takich jak PCI Express. CDCM9102 jest niezwykle zintegrowany, zawiera w sobie pełną pętlę PLL, generator VCO oraz filtry wyjściowe, a także bufor, pozwalający na podłączanie układu...

    Newsy   19 Mar 2012 11:51 Odpowiedzi: 0    Wyświetleń: 1091
  • at90s2313 zegar

    no i ponieważ ma to być czasomie rz jak to zresztą napisali potrzebuje jak tak wiedzieć ile ma ten przebieg zegarowy (czyli jego częstotliwość) abym potem mógł sobie to przeliczyć na sekundy minuty itp albo podajcie inny sposób na zmie rz enie pewnego odcinka czasu Na jakiej dokładności tobie zależy ? Jeżeli to miałby być dokładniejszy zegarek, to...

    Mikrokontrolery   25 Kwi 2005 21:29 Odpowiedzi: 11    Wyświetleń: 1377
  • QR Clock - zegar wyświetlający czas w formie kodu QR

    Po kilku miesiącach pracy wreszcie jest! Teraz możecie pytać, która godzina! Pomysł projektu powstał, kiedy przez cztery dni autor podróżował po kraju. Kody QR są bardzo popularne z wielu powodów. Sprzedawcy uznają, że są one bardzo praktyczne i żądają umieszczania ich wszędzie, gdzie się da – pomimo tego, że Część sprzętowa Pomijając fakt, że...

    DIY Zagranica   12 Sie 2013 09:24 Odpowiedzi: 8    Wyświetleń: 9399
  • REKLAMA
  • Generator sygnału zegarowego.

    Do AD9551 podłączyć można jeden, bądź dwa zegary referencyjne (o częstotliwości od 19.44 do 806 MHz ), z których układ ten generuje jeden, bądź dwa, sygnały zegarowe (o częstotliwości od 10 do 777.6 MHz). Częstotliwości tych sygnałów są ze sobą harmonicznie powiązane, za pomocą programowalnego współczynnika (od 1 do 63). Układy wejściowe i wyjściowe...

    Newsy   24 Mar 2009 22:53 Odpowiedzi: 0    Wyświetleń: 1494
  • Dokładny zegarek Cyfrowy Atmega8

    Jest jeszce asynchroniczna praca licznika TIMER2. Kwarc zegarkowy do nóżek OS1 i OS2 no i fuse bity na wewnętrzny generator.

    Mikrokontrolery   01 Lip 2008 18:45 Odpowiedzi: 21    Wyświetleń: 8303
  • REKLAMA
  • Xmega Xplained A1 - Jaka jest częstotliwość pracy zegara?

    XMEGA zawsze startują z zegarem 2 MHz, programowo możesz sobie potem to zmienić - wybrać np. dostępny na Xplained kwarc zegarkowy, skorzystać (jak w powyższym przykładzie, błędnym zresztą) z wbudowanego RC 32 MHz, masz też do dyspozycji PLL i 3 preskalery zegara. Na twoim Xplained możesz wybrać dowolny wewnętrzny generator zegara, lub kwarc zegarkowy,...

    Mikrokontrolery Początkujący   30 Wrz 2014 21:57 Odpowiedzi: 6    Wyświetleń: 1764
  • Amica EBI 71123AA - Późniący się zegar

    Kiedyś udało mi się zadzwonić do serwisu i oni zasugerowali odłączenie od zasilania na jakiś czas - pomogło ale na krótko, potem znowu zaczął się późnić. Zależy o jakiej niedokładności mówisz, bo zegary w takich urządzeniach bywają niezbyt dokładne. Jeżeli problem jest od początku i niedokładność jest stała w czasie, to najpewniej winna jest nieodpowiednia...

    AGD Początkujący   22 Lip 2019 11:53 Odpowiedzi: 5    Wyświetleń: 483
  • Zegar na wyświetlaczach siedmiosegmentowych

    STC 89C51RC to mikroprocesor zaprogramowany zapewne do obsługi wagi którą zdemontowałeś. Aby skonstruować zegar musiałbyś napisać odpowiedni program zegara dla tego mikroprocesora. Bez tego zegar nie zadziała. Układ NE555 raczej w takim zegarze jest zbędny. Generator do zegara buduje się na rezonatorze kwarcowym - chodzi o dokładność wskazań zegara....

    Początkujący Naprawy   31 Paź 2011 19:53 Odpowiedzi: 2    Wyświetleń: 1498
  • [Kupię] Zilog Z8581, generator sygnału zegarowego

    Witam Kupię układ Zilog Z8581 (oznaczenie na obudowie Z0858110PSC), jest to podwójny generator sygnału zegarowego. Chętnie wezmę nawet 2-3 sztuki jakby ktoś miał.

    Elementy elektroniczne Bazar   15 Kwi 2021 06:42 Odpowiedzi: 0    Wyświetleń: 222
  • Vaillant VRC MF-TEC - Vaillant VRC MF-TEC zegar nie odmierza czasu

    Hej. Ja mam nieco inny problem. Sterownik VRC Calormatic MF - wygląda podobnie jak na zdjęciach kolegi. Jak piec straci zasilanie na kilka minut to zegar i data zerują się na taką standardową wartość i zegar zatrzymuje się. Po powrocie zasilania, zegar zaczyna chodzić, ale od tych standardowych ustawień czasu i daty. Pan z serwisu powiedział że to się...

    Systemy Grzewcze Serwis   05 Paź 2018 19:06 Odpowiedzi: 8    Wyświetleń: 5862
  • Zegar pseudoanalogowy

    Tranzystory do wyboru wyświetlacza daj PNP (oczywiście wtedy emiter do plusa i sterowanie stanem niskim), bo możesz mieć problemy z jasnością świecenia przy zapalonych kilku segmentach. Możesz wywalić NE555 i dać buzzer z wbudowanym generatorem.

    Początkujący Elektronicy   14 Wrz 2005 23:35 Odpowiedzi: 33    Wyświetleń: 4928
  • Rosyjski zegarek - mrugają cyfry w wyświetlaczu.

    Ale czy zegarek zlicza czas a tylko mu migają cyferki, czy też cyfry migoczą i zegarek nie totalnie szaleje? Bo z tego, co pamiętam, to zegarki te mają wyświetlacze VFD i może wina leży po stronie jego zasilania. NA początek podmień wszystkie kondensatory elektrolityczne, bo radzieckie do cudów techniki nie należą i sprawdź wszystkie zasilania. Potem...

    Początkujący Serwisanci   08 Kwi 2007 21:48 Odpowiedzi: 7    Wyświetleń: 4531
  • Zegar - MC 1206. Szukam dokładniejszego schematu.

    Witam, zapewniam Ciebie, iż zamieszczony tu schemat jest kompletny i czytelny (przynajmniej dla mnie), ale jako wykonawca ileś tam owych zegarów, a było to jeszcze pod koniec lat '70 ub. w., mam kilka uwag: - stabilizacja napięcia +9 V jest na przysłowiowych "wariackich papierach" - znacznie lepiej będzie zamienić układ US5 typu 7805 wraz z rezystorem...

    Początkujący Elektronicy   13 Kwi 2009 07:56 Odpowiedzi: 25    Wyświetleń: 14893
  • Wykonywanie instrukcji w wielu cyklach zegarowych

    Nie potrafię znaleźć takich informacji a chciałbym spróbować zaprojektować prosty mikrokontroler. mianowicie jak instrukcja jest wykonywana przez mikrokontroler w kilku cyklach zegarowych w architekturze bez pipeling-u (w trybie sekwencyjnym instrukcja po instrukcji)? Przykładowo mamy instrukcję skoku 'jmp' (zabierającą trzy cykle zegarowe) w pierwszym...

    Mikrokontrolery   13 Lut 2010 19:33 Odpowiedzi: 1    Wyświetleń: 1185
  • Jak działa zegar i po co te kondesatory

    Kondensatory są po to żeby układ zegara wzbudził się (wystartował) po włączeniu zasilania procka. Kwarc wyznacza częstotliwość wewnętrznego generatora.

    Mikrokontrolery   01 Lut 2006 00:00 Odpowiedzi: 2    Wyświetleń: 1082
  • Sygnał zegarowy układu 4017 i amplituda wyjściowa 555

    Witam. Mam 2 krótkie pytanka: 1. Jakiej maksymalnej amplitudy może być sygnał zegarowy układu 4017? 2. Czy amplituda sygnału wyjściowego ne555 (przy pracy astabilnej) zależy od napięcia zasilania? Wg symulacji które przeprowadziłem tak. A jak to jest naprawdę? Pytam, bo interesuje mnie czy mogę sygnał z generatora podać na zegar 4017 przy zasilaniu...

    Początkujący Elektronicy   25 Lut 2008 18:46 Odpowiedzi: 2    Wyświetleń: 1370
  • Grundig XS70, CUC 5361- nie pracuje generator procesora.

    Procesor SDA20562-A506. 5V stabilne. Nie pracuje generator zegara. Czasem gdy jest zimny wystartuje, na obydwu nóżkach kwarcu widać sinusoidę 12MHz i TV przez kilka- kilkanaście min. działa poprawnie, po czym przebiegi znikają i procesor staje. Podstawiony kwarc, kondensatory przy nim (próbowałem też dobierać większe i mniejsze pojemności), umyta płytka,...

    TV CRT Serwis   27 Maj 2008 20:58 Odpowiedzi: 6    Wyświetleń: 1505
  • Zegar DCF77 z termometrem 2 x ds18b20 i podświetleniem .

    Witam , program w tej chwili wygląda tak : 'Zegar DCF77 $regfile = "m8def.dat" $crystal = 8000000 $lib "dcf77.lib" 'Sygnał z odbiornika DCF podłączony do Portb.2 Config Dcf77 = Pinb.2 , Debug = 1 , Inverted = 0 , Check = 1 , Update = 0 , Updatetime = 0 , Secondticks = 50 , Timer1sec = 1 , Powerlevel = 1 , Timer = 1 , Gosub = Sectic...

    Mikrokontrolery AVR   13 Lut 2010 17:11 Odpowiedzi: 9    Wyświetleń: 2976
  • Zegarek do samochodu

    Mało ciekawe, sztuką było by zrobić ten zegarek bez PCFa! to nie sztuka, ale jak się użyje AVR, który może pracować z kwarcem 32kHz. Dziwi mnie natomiast zastosowanie kwarcu do procka, jak zegar zrealizowano na zwenetrznym układzie. Z jakich powodów zastosowano kwarc przy CPU? LCD ani 1wire nie wymagają dużej precyzji sygnałów, spokojnie wystarczył...

    DIY Konstrukcje   04 Lis 2015 20:50 Odpowiedzi: 37    Wyświetleń: 25247
  • Problem z zegarem DerbiGP1 125 -

    Dobrze by było wysymulować działanie czujnika halla jeżeli taki tam wystepuje, takim sposobem już byś wiedział czy licznik czy czujnik. Mógłbyś mi podpowiedzieć w jaki sposób mógłbym wysymulować działanie czujnika halla ? Poczytaj jaki sygnał jest z czujnika hala i podstaw taki generator.

    Motocykle, Motorowery   15 Mar 2018 13:54 Odpowiedzi: 9    Wyświetleń: 1509
  • Generator sygnału zegarowego o zadanej częstotliwości

    Witam, tym razem temat ten nie dotyczy żadnego problemu ani niczego w tym stylu, chodzi mi bardziej o pochwalenie się :P programem który stworzyłem do liczenia parametrów akumulatora fazy służącego do generowania sygnału zegarowego o zadanej częstotliwości. Sam pomysł akumulatora fazy zaczerpnąłem z artykułu Analog Devices na temat Direct Digital Synthesis...

    Programowalne układy logiczne   27 Lut 2009 14:44 Odpowiedzi: 6    Wyświetleń: 5043
  • [Atmega162][ASM]Procesor jako generator sygnału zegarowego

    Witajcie! Czy jest możliwe aby taktować Atmegą inną Atmegę? Np używając pwm z prescalerem 1 oraz z rejestrem OCR równym zero. Czy sygnał będzie miał odpowiedni kształt? Pozdrawiam

    Mikrokontrolery AVR   18 Sie 2011 21:22 Odpowiedzi: 2    Wyświetleń: 1191
  • Generator sygnału zegarowego do mikroprocesora (6502)

    Potrzebuję stworzyć generator sygnału zegarowego do mikroprocesora 6502. Przykładowa nota takiego procesora: Na początku zbudowałem sobie taki generator w oparciu o tranzystory bipolarne: Wszystko działa pięknie. Ma on też tę zaletę, że od razu generuje dwa źródła tego sygnału zegarowego, gdyby chcieć np. taktować twa procesory tym samym kwarcem. Ponieważ...

    Mikrokontrolery   05 Wrz 2012 22:46 Odpowiedzi: 7    Wyświetleń: 2481
  • Generator impulsów zegarowych

    Witam, jestem bardzo początkujący w tematach elektroniki... :P Muszę zrobić licznik od 2 do 6. Mam synchroniczny licznik 74160 oraz układ z nandami. Zrobiłem sobie bardzo prosty projekt takiego licznika, liczy jak trzeba w multisimie, ale mam problem z generatorem impulsów zegarowych. Czy są gotowe układy scalone z impulsami zegarowymi? Albo jak taki...

    Początkujący Naprawy   06 Cze 2013 13:19 Odpowiedzi: 2    Wyświetleń: 1539
  • Budowa generatora sygnału zegarowego 28.375 MHz

    Witam, Potrzebuje zbudować generator sygnału 28.375 MHz. Z dużym trudem udało mi się zdobyć kwarc 28.375 MHz. Niestety kwarc ten sam z siebie (po wzmocnieniu np. w takim układzie) wcale nie oscyluje na 28.375 MHz, tylko na ok 10 MHz (czyli 3 razy mniej). Mam więc przeczucie, że jest to kwarc overtonowy który dopiero na 3 harmonicznej będzie drgał na...

    Projektowanie Układów   30 Kwi 2016 21:27 Odpowiedzi: 8    Wyświetleń: 2514
  • [Zlecę] Generator 20khz do 40khz sinus, sterowany.

    Zlecę wykonanie generatora zastępującego kwarc zegarkowy (32khz). Generator powinien być zasilany napięciem 5v. Zakres pracy od 20khz do 40khz. Cena do 100pln. Najchętniej od kogos z Krakowa :), ale nie koniecznie.

    Projektowanie Bazar   26 Sty 2015 08:17 Odpowiedzi: 2    Wyświetleń: 1149
  • Generator sygnału zegarowego dla ADC i SDRAM do 60MHz

    Witam, mam w planach wykonanie przystawki oscyloskopowej do PC i potrzebuję przełączanego generatora dla ADC i SDRAM do 60MHz. Czy są jakieś gotowe układy, które można w tym celu wykorzystać? Stosując generator np. 60MHz i dalej programowane dzielniki /2, /4, /8, /16, /32 itd. Zastanawiałem się nad wykorzystaniem ATtiny26 z PLL 64MHz i timera0 z przełączanym...

    Projektowanie Układów   13 Sty 2020 22:51 Odpowiedzi: 5    Wyświetleń: 444
  • generator 60Hz do zegarka

    Witam. mam taki przywieziony ze stanów radiobudzik z zegarkiem. Wymieniłem mu trafo sieciowe aby mógł pracować na 220v. Po wymianie okazało się że zegarek chodzi jak chce bo pobierał impulsy z sieci o częstotliwości 60Hz a teraz z naszej sieci ma tylko 50Hz. Jaki najprostszy generator zbudować o częstotliwości 60Hz aby ten zegarek działał. Może ktoś...

    Projektowanie Układów   10 Lis 2004 21:47 Odpowiedzi: 7    Wyświetleń: 3679
  • jak sprwdzic czy generator generuje?

    zbudowalem generator 1Hz do zegarka na ukladzie 4060, cos w tym zegarku jednak nie dziala, wiec postanowilem zaczac sprawdzanie od poczatku czyli od generatora i tu pojawil sie problem jak w warunkach domowych sprawdzic czy dziala on poprawnie ? jeszcze pytanie na marginiesie czy wszystkie wolne wyprowadzenia z scalaka CMOS trzeba podpiac do masy ?

    Projektowanie Układów   22 Lut 2006 18:11 Odpowiedzi: 3    Wyświetleń: 1048
  • Generator Pierce'a w schematics

    Podejrzewam, że C4 1.6pF jest zdecydowanie za mały do tej częstotliwości. Może zwiększ C6 i C3 do 1nF, tak jak były na początku, C4 daj duży, L1 kilkadziesiąt uH, a L2 dużo większą - wtedy to będzie na tyle podobne do układu Colpittsa, że musi się wzbudzić, chyba że jest błąd w układzie, na przykład tranzystor nie ma zasilania, albo brak podłączenia...

    Projektowanie Układów   25 Cze 2006 19:09 Odpowiedzi: 13    Wyświetleń: 5141
  • Zegarek się późni o 12 sekund na każdą minutę

    Przestawić się nie da w prosty sposób. To już lepiej zrobić nowy zegarek lub zasilać go z generatora 60 Hz.

    Początkujący Serwisanci   13 Lut 2007 14:17 Odpowiedzi: 8    Wyświetleń: 2133
  • Generator napięcia schodkowego-pomocy

    Dzięki wielkie za schemat. Mam tylko jeszcze z tym jeden problem. Zrobiłem ten układ w programie i zrobiłem jego symulacje. Niestety sygnał wyjściowy w stosunku do zegara wygląda tak jak na załączonym obrazku. Co może byćtego przyczyną i jak rozwiązać ten problem ? Aha...zapomniałem dodać. Z całą pewnością generator impulsów (zegar) oraz licznik 7290...

    Elementy Elektroniczne - zamienniki i identyfikacja   09 Gru 2007 19:53 Odpowiedzi: 4    Wyświetleń: 3882
  • Zegarek się spieszy o 15minut na miesiąc!

    Z wyglądu wyświetlacza i przycisków ... jest zrobiony na układzie LM8560 lub innym z tej serii. W originale są taktowane z częstotliwości sieci, która obecnie jest dość dokładna. Po odłączeniu napięcia 230V i gdy jest podtrzymująca ustawienia i chodu zegarka bateria 9V, zegarek wygaszając wyświetlacz przechodzi na taktowanie z generatora wewnętrznego...

    Ogólny techniczny   03 Lis 2012 16:33 Odpowiedzi: 14    Wyświetleń: 4323
  • TLC5940 16-kanalowy generator PWM

    Szczerze polecałbym jakiś inny układ, z własnym generatorem sygnałów zegarowych - to dziadostwo trzeba taktować zewnętrznie. Dobrym tropem są biblioteki do Arduino, jak jesteś skazany na ten scalak.

    Mikrokontrolery   04 Mar 2017 19:25 Odpowiedzi: 3    Wyświetleń: 594
  • Jak dobrać elementy do budowy generatora Colpittsa?

    Nie wiem tylko jak zamienić zmianę tej częstotliwości na zmianę częstotliwości w paśmie słyszalnym. Można przy użyciu układów cyfrowych: komparator (żeby z sygnału generatora zrobić sygnał 0/1), przerzutnik D (na wejście D) i stabilny generator zegara do tego przerzutnika (ze 3-5 kHz). Do wyjścia przerzutnika podłączasz słuchawki (tylko niezbyt czułe,...

    Projektowanie Układów   09 Lut 2020 19:35 Odpowiedzi: 41    Wyświetleń: 2595
  • Gadżet jakich mało, świecidełko na biurko - analizator widma z funkcją zegara na wyświetlaczu VFD

    Od kilkunastu lat wraca moda na wszelakiego rodzaju zegary czy wskaźniki dźwięku oparte o lampy Nixie i wyświetlacze VFD, wykonane jako DIY i stylizowane na konstrukcje retro, mogą cieszyć oko będąc ozdobą naszego biurka czy innego mebla :D. Niestety, ceny głównych podzespołów bywają szokujące :-(. Przeglądając różne portale z elektroniką, wpadł mi...

    Tematy tygodnia   07 Lut 2024 06:43 Odpowiedzi: 28    Wyświetleń: 2280
  • UKŁADY SCALONE, GENERATORY, ZEGAR SYSTEMOWY

    MAM PYTANIE O UKŁADY SCALONE Z PŁUT GŁÓWNYCH KOMPUTERÓW 1. JAK NA PŁYCE GŁ. ZROBIONY JEST GENERATOR CZESTOTLIWOŚCI ZEGARA SYSTEMOWEGO. 2. CZY DA SIĘ Z UKŁADÓW SCALONYCH Z KOMPUTERA ZROBIĆ JAKIS SYNTEZER CZESTOTLIWOŚCI , GENERATOR? 3. DO CZEGO SŁUŻĄ UK. SC. MONTOWANE NA PŁ. GŁ.O OZNACZENIACH CS..... , CY.... , W.... , CA... , CM

    Komputery Hardware   21 Kwi 2003 18:18 Odpowiedzi: 1    Wyświetleń: 1307
  • Generator do zegara dworcowego

    Witam Chciałem zbudować generator do takiego starego zegara jakie wisiały kiedyś w halach zakładowych lub na dworcach.Akurat mam do takiego dostęp i chciałem go uruchomić,gdyż w śodku tego zegara jest tylko silniczek który steruje się impulsami o częstotliwości 1/60 Hz. I tutaj mam prośbę do wszystkich forumowiczów,aby podsuneli mi pomysł konstrukcji...

    Projektowanie Układów   16 Cze 2006 23:03 Odpowiedzi: 12    Wyświetleń: 3889
  • Zegar czasu rzeczywistego

    Da się na wewnętrznym generatorze odliczyć godzinę... Ja jak chcę odliczyć skundę to ustawiam timer0 (16-bit) na tryb fast PWM włączam przerwanie od przepełnienia i ustawiam wartość TOP tak żeby przerwanie było co sekundę... Z dokładnością przy godzinie będzie pewnie kiepsko ale można zrobić kalibrację wewnętrznego generatora i sprawdzić...

    Mikrokontrolery   16 Lut 2007 14:15 Odpowiedzi: 2    Wyświetleń: 1565
  • Nforce2, generator czestotliwosci

    Prosze o jakies linki do materialow opisujacych dzialanie chipsetu nforce2 (abit nf7) i jego zaleznosci z procesorem. A takze opis dzialania generatora czestotliwosci (zegar taktujacy) w jaki sposob generuje czestotliwosc i co sie dokladnie dzieje jesli zmieniamy czestotliwosc z poziomu biosu. Im dokladniej bedzie to opisane tym lepiej. Z gory dzieki.

    Komputery Hardware   09 Lut 2009 18:52 Odpowiedzi: 0    Wyświetleń: 627
  • Generator 1Hz do zegara nixie

    Wykonałem zegar nixie na licznikach CMOS, który jest synchronizowany z 50Hz z sieci 230V. Niestety zegar ma tendencję do spieszenia się jakieś 10-15s na dobę. Chciałbym więc dorobić do niego wzorcowy generator 1Hz, by to uchybienie maksymalnie zmniejszyć. W związku z tym poszukuje schematu a jeszcze lepiej płytki drukowanej do takiego generatora, najlepiej...

    Projektowanie Układów   27 Lut 2020 02:57 Odpowiedzi: 12    Wyświetleń: 8079
  • Czy synchronizacja dokona się w czasie dostępu? Generator zegara taktujący MCU.

    Pytanie proste i zasadniczo podejrzewam, że znam odpowiedź, ale... Wykorzystuję jeden generator zegara, który taktuje wszystkie komponenty MCU. Stąd też wszystkie wydaje się, że pracują w jednej domenie zegarowej. W takim przypadku jak sądzę nie muszę czekać na synchronizację rejestrów IO - bo skoro wszystko jest w jednej domenie to synchronizacja dokona...

    Mikrokontrolery ARM   17 Maj 2017 09:55 Odpowiedzi: 28    Wyświetleń: 1686
  • - Jak zbudować zegar elektroniczny?

    czy muszę użyć jakiegoś osobnego układu do odliczania czasu? Polecam RTC DS3231, który ma już wbudowany kwarc ze skompensowanym temperaturowo generatorem zegara i dzięki temu ma dość dobrą dokładność +/- minuta na 1 rok Przemyśl sobie temat podtrzymania zasilania po zaniku głównego.

    Początkujący Elektronicy   28 Sie 2018 20:44 Odpowiedzi: 9    Wyświetleń: 660
  • siemens s7 1200 zegar czasu rzeczywistego -dzień tygodnia.

    Co masz na myśli pisząc "po uruchomieniu zegara"? Zegar cały czas działa, a obszary pamięci nie są nigdy na stałe przypisane do niczego. Może włączyłeś w konfiguracji sprzętowej sterownika System and clock memory? Wtedy Clock memory byte (bajt odpowiedzialny za systemowe generatory przebiegów czasowych) zajmuje obszar %M, który tam podasz, więc może...

    Automatyka Sterowanie PLC   22 Lut 2019 06:43 Odpowiedzi: 17    Wyświetleń: 2358
  • Jak zbudować generator pod zegar klapkowy 120V 60Hz 6W?

    Witam! Posiadam zegar klapkowy 120V 60hz 6W, posiadam w domu przetwornice z 230-110V ale to nie rozwiązuje problemu. Słyszałem o generatorze 60Hz, ale jestem kompletnym laikiem i nie będę w stanie sam tego wytworzyć :) Czy jest jakaś inna opcja? Czy ewentualnie ktoś chciałby się podjąć skonstruowania takiego generatora? Z góry dziękuję za pomoc! :-)

    Początkujący Naprawy   12 Cze 2022 21:56 Odpowiedzi: 18    Wyświetleń: 759
  • Zegar ZC-066 - brak reakcji na przyciski, słabe działanie diod: poszukiwanie schematu i rozwiązania

    Co z kwarcem ? generator pracuje ?

    Początkujący Naprawy   06 Mar 2023 19:54 Odpowiedzi: 8    Wyświetleń: 273
  • Zegarek elektroniczny

    Witam, chciałbym przedstawić swój zegarek elektroniczny. Zegarek jest wykonany w technologii CMOS. Taktowany generatorem stabilizowanym rezonatorem kwarcowym. Po wykalibrowaniu można osiągnąć dokładność do 0,26s na dobę, co jest bardzo dobrym wynikiem. Pobór mocy z sieci wynosi 2,8W. Zegar jest wyposażony w układ automatycznej regulacji jasności wyświetlaczy,...

    DIY Konstrukcje   25 Sty 2016 20:03 Odpowiedzi: 9    Wyświetleń: 8184
  • Efektowny zegar z diod LED na pcf8583 i atmega8

    Witam, kiedyś wykonałem podobny zegarek, ale pisałem go w języku C. Jest to zegarek z wyświetlaczami 1calowymi LED(SA10-21GWA) i układem PCF8583 współpracującym z mikroprocesorem Atmega8 oraz układami PCF8574AP na szynie TWI(i2c). Posiada generator PWM (regulacja stopnia świecenia wyświetlaczy LED). Radził bym też, o ile nie masz zastosować układ Watchdog'a...

    DIY Konstrukcje   13 Sty 2022 09:10 Odpowiedzi: 68    Wyświetleń: 44512
  • Recenzja, kit zegara cyfrowego SFT-CK201.

    Czas jest tym co od początków fascynowało ludzkość, a jego nieuchronny upływ spowodował potrzebę jego pomiaru (czasu). Pierwsze zegary słoneczne powstały już kilkanaście wieków p.n.e. a wraz z rozwojem cywilizacji konstrukcje się zmieniały. Około 1500 lat p.n.e. pokazały się klepsydry wodne i piaskowe, cechowały się jednak niewielką precyzją. Pierwszy...

    Artykuły   16 Lut 2021 10:22 Odpowiedzi: 14    Wyświetleń: 3783
  • Zegar RGB (WS2812B) z DCF-77

    Witam, w końcu i ja postanowiłem zaprezentować swoje dokonania na elektrodzie. To mój pierwszy post w DIY, proszę o wyrozumiałość. :-) 1.Pomysł Dla mnie, człowieka urodzonego pod koniec lat 70, zawsze wzorem zegara był zegar Teleexpressu. Te 60 diod sekundnika były dla mnie magnesem. Jednak sterowanie 60-ma diodami za pomocą multiplekserów, ekspanderów...

    DIY Konstrukcje   10 Sie 2016 14:24 Odpowiedzi: 42    Wyświetleń: 25131
  • [Atmega8][C] Atmega8 i TWI na przykładzie zegara LED

    Witam wszystkich. Zaprojektowałem i uruchomiłem zegarek z wyświetlaczami LED(SA10-21GWA) i układem PCF8583 współpracującym z mikroprocesorem Atmega8 oraz układami PCF8574AP na szynie TWI(i2c). A teraz chciałbym go wam zaprezentować. Na początek podręcznik języka C w formie pliku pdf -> 'C.pdf' i plik -> 'atmega16mmr.pdf' ,oraz obrazki -> 'Atmega8.gif'...

    Mikrokontrolery AVR   24 Lis 2009 11:17 Odpowiedzi: 1    Wyświetleń: 25162
  • Mega8 + kwarc zegarkowy. Zegarek rusza dopiero po dotknięciu kwarcu.

    W AT89C2051 miałem taki sam problem(tyle że przy innych kwarcach niż zegarkowy), generator nie chciał się wzbudzić. Po podłączeniu równolegle z kwarcem opornika 1.5MΩ generator startował zawsze od razu.

    Mikrokontrolery   09 Kwi 2011 09:55 Odpowiedzi: 20    Wyświetleń: 3215
  • Zegar binarny z 6 LED-ami na PIC12F683

    Do zbudowania tego zegara zainspirował mnie "Zegarek binarny na czterech diodach led". Obejrzałem również film, ilustrujący działanie tego zegarka, jednak trudno mi było jednoznacznie zinterpretować wyświetlane na Ledach stany. Postanowiłem stworzyć własną konstrukcję, która byłaby łatwiejsza w użytkowaniu. Poniżej przedstawiam jej schemat. Użyłem...

    DIY Konstrukcje   04 Paź 2018 10:55 Odpowiedzi: 6    Wyświetleń: 4545
  • Rzadko zadawane pytania: kieszonkowy generator szumu białego

    Pytanie: Jak zmierzyć widmo jakiegoś układu dla wszystkich częstotliwości naraz? Odpowiedź: Szum zazwyczaj jest wrogiem elektronika, a każdy szanujący się projektant stara się zredukować jego poziom w układzie do minimum. Jednakże są pewne sytuacje, gdy dobrze scharakteryzowane źródło szumu, bez żadnych innych dodanych sygnałów, może być bardzo pożądane....

    Artykuły   26 Sty 2019 17:45 Odpowiedzi: 13    Wyświetleń: 5106
  • Zegar Binarny v2 by HubertFab

    Nie zniszczyłeś, tylko przestawiłeś na zewnętrzny oscylator/generator. Układ działa na zewnętrznym kwarcu (zegarkowym) a objawy przez Ciebie przedstawione sugerują, że u Ciebie ten kwarc nie działa. W takiej sytuacji jak przestawiasz Atmegę na zewnętrzny oscylator to nie ma ona sygnału taktującego. Przelutuj kwarc ten co masz lub zmień na nowy i obchodź...

    DIY Konstrukcje   07 Gru 2012 22:14 Odpowiedzi: 37    Wyświetleń: 18161
  • [Szukam] Pomiar chodu zegarka / chronokomparator-wibrograf/ program - schemat?

    Predzio - schemat to tylko mikrofon (najlepiej piezak, buzer...) wetknięty do karty dźwiękowej - ale skąd weźmiesz komputer z tak dokładnym wzorcem czasowym, systemowym generatorem kwarcowym. Wibrografy mają generatory kwarcowe w termostatach i muszą być wygrzewane przed zabawą z zegarkiem. Można się pobawić jakimś programem oscyloskopu i mikrofon zamontowany...

    Forum Budowlane   12 Sty 2013 09:42 Odpowiedzi: 4    Wyświetleń: 6423
  • Projekt: Zegarek na attiny2313 + LCD HD44780

    Trzeba wykorzystać timer, zliczający imuplsy zegarowe. Jeśli zegar będzie pochodził z kwarcu to dokładność będzie taka sama lub lepsza niż z dedykowanego układu RTC, jeśli z wbudowanego generatora to dokładność będzie taka sobie, ale może zadowalająca. Następnie trzeba przeliczyć impulsy timera na godziny, minuty i datę. Tu prosta matematyka się kłania....

    Mikrokontrolery AVR   16 Sty 2016 16:54 Odpowiedzi: 7    Wyświetleń: 1716
  • Czterocyfrowy zegar elektroniczny LED - KIT z Chin (instrukcja + wsad)

    Dobra baza sprzętowa do realizacji swojego zegarka. Mnie nawet by się nie chciało wnikać w interfejs obsługi tylko napisałbym swój zegarek. Zastosowany tu mikrokontroler nie ma sprzętowego generatora PWM więc postanowiono użyć tranzystora PNP S80550 jako klucza do załączania dźwięku. Głupota? Ale ważne że działa. Co ma brak sprzętowego PWM do sterowania...

    Wnętrza urządzeń   09 Wrz 2022 13:37 Odpowiedzi: 9    Wyświetleń: 2985
  • generator bardzo małej częstotl(o)wości

    No najprościej zegar... który ma tylko sygnalizacje doby. czyli daje sygnał tylko w przypadku przejscia z dwudziestej trzeciej na 0:00. Czyli kalendarz elektroniczny. Kupujesz kwarc zegarkowy, na jego oparciu robisz generator jednej sekundy nastepnie dzielisz ten dlugość okresu 1Hz na 24*3600 (dzielnik czestotliwosci). I do tego układ który bedzie reagował...

    Początkujący Elektronicy   06 Gru 2004 20:22 Odpowiedzi: 14    Wyświetleń: 1820
  • Chronokomparator do zegarków mechanicznych

    Chyba nie ma sensu obliczanie chwilowego, średniego itp. okresu balansu. Należy porównywać fazę "cyknięć" balansu z fazą generatora odniesienia. Porównanie faz należy przeprowadzać w dłuższym czasie, co najmniej kilkunastu sekund, aby zorientować się, czy różnica faz rośnie czy maleje. W moim uproszczonym chronokomparatorze porównywałem fazy, a właściwie...

    Ogólny techniczny   12 Sty 2011 15:40 Odpowiedzi: 7    Wyświetleń: 3301
  • komunikacja z zegarem widmowym

    No to tak: podłączyłem uzwojenie (wtórne przy nagrywaniu, pierwotne przy odczytywaniu) pod generator sygnałów. I drugie uzwojenie do multimetra. Rezultat nie jest zbyt zadowalający jako że i tym razem nie wyindukowało za wiele, natomiast przy częstotliwości około 100kHz było jakieś 300uA. Myślę że to też przez to, że ten generator ma ze 15 lat, na wyjściu...

    Projektowanie Układów   27 Lip 2009 23:33 Odpowiedzi: 3    Wyświetleń: 1206
  • Przetwornik analogowo cyfrowy MAX19506, skąd sygnał zegarowy?

    Witam. Mam następujący problem. Zdobyłem bardzo dobry przetwornik analogowo-cyfrowy MAX19506, jedyną przeszkodą przed prawidłowym funkcjonowaniem jest brak odpowiedniego źródła sygnału zegarowego. Uruchomiłem wstępnie przetwornik w współpracy z FPGA Cyclone II, zegar "robię" z generatora 25MHz puszczonego na PLL FPGA wyprowadzam zegar i jego negację...

    DSP i Transmisja   02 Kwi 2012 21:16 Odpowiedzi: 1    Wyświetleń: 1177
  • Gen zegarkowy. Jak dobrać elementy, żeby zadziałało?

    no to spoko, ze budujesz uklad w opoarciu o jakas kostke nie majac noty katalogowej i podstawowych aplikacji w jakich ten uklad pracuje no ale co tam :) Na elektrodzie gdzies widzialem taki prosty generatorek zbudowany wlasnie na jakiejs bramce do ktorego wpinalo sie jakis kwarc i generowal taka czestotliwosc tak wiec poszukaj bo teraz nie mam pojecia...

    Projektowanie Układów   23 Gru 2019 16:42 Odpowiedzi: 13    Wyświetleń: 2409
  • Generator wielokanałowy (4kanały) DDS (lub nie) do 0-200kHz

    Patrzałem na te generatorki na AVR, wyglada to nawet fajnie. Ale nie doczytałem czy można na tym zrobić np 3 kanały? bo czterech to chyba nie bardzo. Tak jako zupełne minimum to trzy kanały mogłyby mi wystarczyć, ale i tak docelowo zrobię te cztery. Na razie przeglądam dokumentacje do AD9959, trzeba jakość spróbować ugryźć ten temat :) niestety te...

    Mikrokontrolery   23 Kwi 2009 16:24 Odpowiedzi: 13    Wyświetleń: 5732
  • Kupię Zegar DCF ze sterownikiem

    Może wystarczy to:[url=]Link, albo to: [url=]Link Generator pojedynczego impulsu można prosto wykonać poza samym zegarem. Należy tylko podać parametry tego impulsu jego napięcie i czas trwania. Czasem wystarczy kilka elementów.

    Ogłoszenia Elektronika   24 Lut 2011 15:36 Odpowiedzi: 3    Wyświetleń: 1929
  • Zegar NIXIE AVT-5145 modernizacja.

    Witam, postanowiłem znowu poruszyć temat, poprzednie wątki są zamknięte, wiec zakładam nowy. Postanowiłem zbudować zegar Nixie jak w temacie. Wybór padł na CMOS z powodu prostoty (nie licząc około 50 tranzystorów i rezystorów do wlutowania) w porównaniu z technologią mikroprocesorową którą dopiero poznaję. Konstrukcja ta ma swoje zalety, gdyż zegar...

    Projektowanie Układów   15 Sty 2013 11:38 Odpowiedzi: 1    Wyświetleń: 3256
  • Zegar nixie z motywem mahoniowo-mosiężnym (lampy IN-12)

    Cześć! Pewnie część czytelników jest już znużona ilością tematów o zegarach nixie, ale nic nie poradzę na to, że ja także poddałem się magii tych cudownych wyświetlaczy minionej epoki. ;) Mogę tylko uspokoić, że jest to moja autorska konstrukcja, a nie kalka istniejącego projektu. Zdaję sobie jednak sprawę, że w temacie sterowania lampami wymyślono...

    DIY Konstrukcje   22 Mar 2015 21:12 Odpowiedzi: 24    Wyświetleń: 17487
  • Niedokładny zegar w JVC FS SD7R

    Witam ! Użytkuję tę wieżę od 2 lat.W końcu kupiłem do niej oryginalnego pilota. Postanowiłem ustawić zegarek ma wyświetlaczu.Wcześniej tego nie robiłem gdyż obok stał dekoder DVB T z zegarkiem. Okazuje się że czasomierz późni około 5-7 minut na miesiąc.Co ciekawe radio ma RDS i myślałem że czas będzie pobierany z tego wynalazku.A tu nie. W instrukcji...

    Początkujący Naprawy   02 Mar 2022 20:01 Odpowiedzi: 5    Wyświetleń: 405
  • Jak połączyć silnik Hondy z wałem generatora Einhell?

    Rzecz się ma następująco- po wypadku gdzie rozsypało się koło zamachowe tego generatorka, którą to sprawę opisałem we wcześniejszym poście >>>>> miałem go już spisać na straty ,ale uważam ze nie oddam go tak lekko czataristom ( zbierającym złom), gdyż wartość jego sama w sobie jest na plus, bo uzwojenia stojana i wirnika są miedziane. To nowe dziecko...

    Elektro Maszyny i Urządzenia   13 Lip 2020 13:18 Odpowiedzi: 0    Wyświetleń: 279
  • Zrobienie generatora szumu do generatora liczb losowych

    Zrobiłem 1 podobny generatorek liczb losowych, tylko chyba bardziej wyszedł na filtr napięcia zasilania, a jedyna losowość, to było niezsynchronizowanie przebiegów zegarów. Na pinie analogowym sygnał byłby porównywany ze średnią poprzednich odczytów. 1.Czy taki układ by zadziałał? 2.Jaki element mocno szumi i można by go tu wpiąć? Wcześniej zrobiłem...

    Początkujący Elektronicy   13 Cze 2023 20:55 Odpowiedzi: 19    Wyświetleń: 453
  • Zegar na fale radiowe po wymianie baterii nie działa,co może być przyczyną, zegar funkcjonował 15 la

    Zdaje się że byli tacy co budowali z powodzeniem taki odbiornik DCF77 nawet na lampach i filtrach LC: Więc nie może to być jakiś wielki rocket science. :-) W sieci jest trochę schematów wąskopasmowych odbiorników DCF77 na elementach dyskretnych. To czy dobrze działających to trudno powiedzieć. Fakt że najprościej zrobić to na dedykowanym układzie scalonym...

    Inne Serwis   19 Mar 2024 16:45 Odpowiedzi: 55    Wyświetleń: 1413
  • Odzyskanie zegara

    Witam. Robię przyrząd do badania jakości łącza. Potrzebuje odtworzyć sygnał zegarowy aby zsynchronizować generatory. nie chce mi sie tego robić ręcznie, czy posiada ktoś może informacje czy istnieją układy scalone które realizuja takie funkcje, układ musi sobie radzić z częstotliwościami 10MHz a docelowo 100MHz. Z góry dziękuje za pomoc. Pozdrawiam.

    Mikrokontrolery   30 Wrz 2004 19:29 Odpowiedzi: 13    Wyświetleń: 1488
  • prosba o pomoc w wymianie generatorka akustycznego w seacie

    Witam kolego mam prosbe o pomoc przy kolejnym z moich pomysłow ma tu na mysli generator jaki jest montowany w seacie toledo z 1992 roku chodzi tu o ten co jak mamy cos wlaczone swiatla czy kierunki to piszczy przy wylaczonej stacyjce chcial bym go zmienic na cos bardziej ciekawego i zabawnego moze macie pomysl czym mozna go zastapic i gdzie ten generator...

    CarAudio   02 Sty 2006 17:47 Odpowiedzi: 0    Wyświetleń: 743
  • Generator wysokiego napięcia

    PRZY tym generatorku trzeba dac elektrolit -na zasilaniu -tzw. blokujacy- po to aby zasilanie dlugimi przewodami nie wplywalo. Dla sw. spokoju nalezy tez do tego elektrolitu dopiac 0,1uF -aby stworzyc droge powrotna dla wzbudzonych pradow /skladowych/ w. cz. . Wtedy nie bedzie to smiecic po calym zakresie fal dlugich, srednich itd. Wkatalogu mozna znalezc...

    Artykuły   27 Lut 2009 12:39 Odpowiedzi: 1528    Wyświetleń: 382023
  • Ponownie problem generatora na ATmega8

    Witam po raz sto pierwszy. Stali bywalcy elektrody pewnie mają już dosyć tych tematów (i mnie zapewne też :D), ale powróciłem do tego zagadnienia. Żeby nie było, poświęciłem dwa dni na szperaniu po elektrodzie w poszukiwaniu postów o tej tematyce; znalazło się troche (oj troche :)), ba...nawet znalazłem kod źródłowy w C/C++ który co prawda kompilował...

    Mikrokontrolery   19 Cze 2006 20:23 Odpowiedzi: 3    Wyświetleń: 1663
  • arm AT91SAM7S64 w jaki sposób przespieszyć inicjację zegara?

    Niedawno uruchomiłem pierwszy raz procesor z rdzeniem arm AT91SAM7S64 wszystko w zasadzie zadziałało poza inicjacją zewnętrznego zegara. Przeczytałem już kilka razy rozdział "Clock Generator" i dalej nic z tego nie wynika. Procesor pracuje wolno, ale nie aż tak wolno jakby pracował na Slow Clock. Program pisze w CrossStudio for ARM i z tego co się już...

    Mikrokontrolery   16 Paź 2007 14:51 Odpowiedzi: 6    Wyświetleń: 1907
  • ATmega8 i zegar czasu rzeczywistego.

    Dodałbym, że Bascom odpowiedzialny jest jeszcze za koklusz i gradobicie. 1. M8 musi być ustawiony na pracę z kwarcu zegarkowego - FUSY. Powoduje to napędzanie M8 z generatora wewnętrznego RC 2. Trzeba skonfigurować Timer2, który jest zdolny do asynchronicznego taktowania z kwarcu 32768Hz - polecam helpa Config Timer2. 3. W przerwaniu od timera2 należy...

    Mikrokontrolery   01 Paź 2007 07:46 Odpowiedzi: 10    Wyświetleń: 8447
  • Wykrycie utraty sygnalu zegarowego

    A ja myślałem, żeby użyć CD4046 (pętli fazowej) - generatora użyć "wolnobieżnie" (bez zapiętej pętli PLL), ustawić go na trochę niższą częstotliwość niż Twój zegar. Wtedy detektor fazy II (to jest opisane w specyfikacji tego układu) będzie działał jak komparator częstotliwości - pokaże, która jest większa. To chyba wystarczy:)

    Projektowanie Układów   17 Cze 2009 22:42 Odpowiedzi: 3    Wyświetleń: 1046
  • Generator wysokiego napięcia

    msichal lepiej dać 4 diody w mostku byW29 i mały kondensator czy dać gotowy mostek na wolnych diodach np.KBPC5010 i spory kondensator tak :) płytka jest .. ułożyłem to troszkę inaczej -- mam nadzieje ze poprawnie i nic się tam nie wzbudzi czy cuś ponoć coś z pojemnością się dzieje przy długich ścieżkach tak coś wyczytałem " pojemność połączeń drastycznie...

    DIY Konstrukcje   19 Lut 2011 14:44 Odpowiedzi: 31    Wyświetleń: 25222
  • Atmega32 zegar i przetwornik adc

    Witam, Mam pewien problem i nie bardzo pomysł na jego rozwiązanie. Mam program zegara i czujnikami dallas18b20 i wszystko fajnie działa. Potrzebowałem uruchomić dodatkowo przetwornik adc i zaczęły się schody. Gdy skonfigurowałem przetwornik program po dojściu do momentu inicjalizacji przetworika się wiesza, a dokładniej wygląda, jakby procek się resetował...

    Mikrokontrolery   31 Maj 2012 19:37 Odpowiedzi: 4    Wyświetleń: 2369
  • (prawdopodobnie) najmniejszy zegar nixie zrobiony "na piechotę".

    Skoro zastosowałeś kwarc, to rozważ taki: Częściej zmieniam czas z letniego na zimowy, niż reguluję wskazania. Zwykłe kwarce zegarkowe to dla mnie jakaś porażka - zdarzało się, że trzeba było regulować zegarek co kilkanaście/dziesiąt dni, bo wskazania rozjeżdżały się o ponad minutę... To chyba coś pechowo trafiałeś, ja zrobiłem w ten sposób kilka zegarków...

    DIY Konstrukcje   02 Kwi 2020 20:10 Odpowiedzi: 24    Wyświetleń: 8862
  • Zegar tekstowy na procesorze STM32

    Witam , Wracam do tematu . Zegar skończony i przetestowany . Podziękowania dla autora za pomoc . Kilka krótkich filmów z pracy zegara wykonane telefonem . Jedna z dwóch gier Tetris Na dłuższy film zapraszam do oglądnięcia Dokonane zmiany . W matryc liter dodałem jeszcze jedno zero , gdyż wyświetlanie godziny zero ( północ ) nie bardzo wyglądało . Teraz...

    DIY w budowie   24 Mar 2019 20:14 Odpowiedzi: 22    Wyświetleń: 4986
  • Konstrukcja zegarka na 555/CD4026 - jak uprościć układ?

    Liczników musisz mieć co najmniej tyle ile cyfr chcesz wyświetlać. Wszystkie są taktowane za pomocą jednego generatora wzorcowego. Jaką dokładność chcesz uzyskać stosując jako generator wzorcowy układ 555 ? Tu masz przykładowy zegar na takich układach. Zapoznaj się z budową takich zegarów. Więcej schematów znajdziesz gdy głębiej pogrzebiesz w sieci....

    Początkujący Elektronicy   20 Mar 2020 19:49 Odpowiedzi: 1    Wyświetleń: 252
  • Radio "kostka" z zegarem i budzikiem - Universum UR-1052 Quelle - 1994

    Witajcie moi drodzy. Przedstawię tu wnętrze starego radia Universum UR-1052 Quelle z 1994 roku. Radio moją uwagę przykuło nietypowym kształtem obudowy oraz samym sposobem jej złożenia. Radio to odbiera standardowo AM/FM oraz oferuje dodatkowo zegar i budzik z dość ładnym, czerwonym 7-segmentowym wyświetlaczem. Jak zrealizowany jest w środku pomiar...

    Wnętrza urządzeń   14 Gru 2021 09:00 Odpowiedzi: 9    Wyświetleń: 2376
  • Zegar czasu z informacją głosową o pełnych godzinach i półgodzinach

    W ramach ciekawostki historycznej - gdzieś w na przełomie lat 80-90 kolega zakupił układ scalony generatora mowy, chyba nazywał się SPO256, ale po tylu latach głowy nie dam. Normalnie syntezuje on mowe z fonemów, jednak jak się okazało, zakupiona wersja była specjalizowana i od razy generowała całe słowa - liczebniki, "AP", "PM", "o'clock", "wake up"...

    DIY Konstrukcje   02 Cze 2022 11:15 Odpowiedzi: 20    Wyświetleń: 3105
  • 6-bitowy zegarek dla początkującego do lekcji lutowania Ciekawy zestaw z Chin.

    Jak w temacie — nic odkrywczego, ale niezwykle przydatne narzędzie — zwłaszcza dla początkującego adepta elektroniki. Układ w zasadzie zakupił mój Kolega (znany już z innych moich artykułów) na zasadzie "Zawsze chciałem taki mieć" (a nigdy nie chciało mi się go lutować... ;) ). Przekazał więc mi ten zestaw części i płytkę zakupione w AliExpress jakiś...

    Artykuły   26 Sty 2024 22:32 Odpowiedzi: 17    Wyświetleń: 2073
  • NE 2004 ZEGAR MIKROPROCESOROWY DCF

    Ten może być. Każdy z tych zegarów ma własny generator kwarcowy. Z doświadczeń z sygnałami DCF/GPS mogę napisać, że tego typu zegarki raz na 1439 minut czyli niecałe 24 godziny próbują się synchronizowac z sygnałem DCF lub gdy wykryją całkowity zanik sygnału DCF, wtedy następuje to natychmiast. Jeżeli jest to niemożliwe próby następują aż do skutku,...

    Inne Serwis   28 Lis 2004 10:28 Odpowiedzi: 10    Wyświetleń: 3646
  • Generator impulsów z wykorzystaniem przerzutnika Schmitta

    Schemat na bramce Schmitta (układ 4093) na wyjściu można dać bramkę separującą obciążenie. Stan początkowy STER=0, kondensator jest naładowany Uc1≈Ucc, na wyjściu bramki jest stan wysoki (Uwy≈Ucc). STER zmienia stan na 1 -> wyjęcie bramki na 0, czas do pierwszego przełączenia bramki: t0=R1*C1*ln(Ucc/UL) Następuje zmiana na stan wysoki,...

    Początkujący Elektronicy   08 Sty 2005 19:05 Odpowiedzi: 3    Wyświetleń: 4293
  • Zegar (-ek) na ATMega32 [Bascom AVR]

    Czy jes możliwe skonstruowanie dokladnego zegara na samym ATMega32, czy trzeba cos "dospawać" Moim zdaniem jest możliwe. Jeśli tylko masz dobrze napisany program (nie pomyliłeś się w obliczeniach) i kwarc o dokładnej częstotliwości to nie widzę powodu by zegarek miał się spieszyć albo późnić. Co do kwarców zegarkowych to nie podzielam entuzjazmu mojego...

    Mikrokontrolery   17 Lut 2006 11:12 Odpowiedzi: 4    Wyświetleń: 3588
  • Kostki do zegarka. Budowa zegarka z wyświetlaczem LED.

    Witam ja również robiłem zegarek w oparciu o tego LMa mogę polecić schemat zamieszczony w radioelektroniku nr 9/91 niestety nie posiadam wersji elektronicznej:( a różni się tym że wykorzystuje generator kwarcowy jako wzorzec częstotliwości osobiście myślę że to lepsze rozwiązanie.

    Początkujący Elektronicy   13 Mar 2006 18:42 Odpowiedzi: 12    Wyświetleń: 2727
  • Bezstykowy pomiar częstotliwości układu zegarowego.

    Albo cewka, albo antenka - zależy, jak ten generator jest zrobiony, na jaką częstotliwość... Impuls jest co 1 Hz. Dowiedzialem sie teraz, ze generator ten taktuje niewielki mechaniczny, wskazówkowy zegar. Czyli w zegarze jest cewka która napędza mechanizm.

    Projektowanie Układów   08 Cze 2006 16:40 Odpowiedzi: 8    Wyświetleń: 1349
  • Kwarc zegarkowy pytanie

    1. Nie potrzeba kondensatorow bzdura. Każdy kwarc potrzebuje kondensatorów. Ale AVRy posiadają wbudowane kondensatory, więc nie potrzeba zewnętrznych kondensatorów 2. Oczywiscie bzdura, zajrzyj do noty jakie maja byc ustawienia (CKSEL 1001) Też bzdura. Jak najbardziej można ustawić wewnętrzny generator 8MHz. Można też 4, 2 i 1MHz. Można 128kHz (jeżeli...

    Mikrokontrolery AVR   13 Cze 2009 20:31 Odpowiedzi: 6    Wyświetleń: 3734
  • Zegar kwarcowy i kwarce - pytania

    Dlaczego czegoś się nie produkuje to zapytaj producenta, jak by było 1000000 chętnych to by produkowali ale ty jesteś jeden. Można wykonać zegar na prawie każdym kwarcu w generatorze, najczęściej robi się na czymś typowym co ma się pod ręką (amatorskie konstrukcje) i co jest łatwe do podziału np. 10Mhz, 1MhZ, 100kHz, 200kHz (takie coś kiedyś zastosowałem...

    Początkujący Naprawy   03 Cze 2010 12:12 Odpowiedzi: 4    Wyświetleń: 5417
  • Zegar LCD z podświetleniem RGB

    Zliczanie sekund odbywa się w przerwaniu (z preskalerem równym 1024). 16 000 000 / 1024 = 15625 65535 to maksymalna wartość timera, ale przerwanie następuje dopiero gdy timer się "przekręci" więc doliczamy jeszcze jeden. 65535 + 1 - 15625 = 49 911 ( wychodzi 49 911 - w praktyce działa dokładniej przy wartości 49 910 i taką też mam aktualnie wgraną...

    DIY Konstrukcje   18 Lip 2012 11:43 Odpowiedzi: 32    Wyświetleń: 16271