REKLAMA

kodowa silnik

Znaleziono około 2181 wyników dla: kodowa silnik
  • [PHP] Kodowanie znaków na generowanej stronie.

    Witam! Mam problem z kodowaniem znaków. Niby znacznik mam ustawiony ale kodowanie nie działa. Zobaczcie kod. <? session_start(); ?> <html> <head> <title>Podgląd zgłoszenia</title> <link rel="STYLESHEET" type="text/css" href="styl.css"> <meta http-equiv="content-type"...

    Programowanie Początkujący   16 Mar 2010 11:13 Odpowiedzi: 2    Wyświetleń: 3267
  • REKLAMA
  • omega 2.6v6 kodowanie abs -

    Witam. w sterowniku abs jeden błąd odnośnie błędnego kodowania. op-com ma możliwość kodowania do x25xe oraz x30xe, niestety nie ma silnika y26se. Mozliwosc kodowania do silnika y26se znalazlem po vectrze b, jednak nic sie nie zmienia. Do tego op-com krzyczy że nie może poprawnie zidentyfikowac sterownika abs (id 0x0148) nie mam w oknie op-coma takiej...

    Samochody Elektryka i elektronika   22 Paź 2019 12:49 Odpowiedzi: 1    Wyświetleń: 807
  • Kodowanie sterownika silnika POLO 9N AZQ - błąd 18020, brak ABS, climatronic

    Autko jak w tytule. Sterownik silnika wywala błąd 18020 błędne kodowanie. W tej chwili kodowanie 00021.Auto bez tempomatu, ABS-u z climatronikiem. Kodowania które znalazłem (00031,00071) nie dają rezultatów.

    Samochody Początkujący   29 Lis 2019 21:37 Odpowiedzi: 0    Wyświetleń: 453
  • Mercedes WDB 140 S 3.2 - Brak kodowania sterownika silnika ( HFM )

    Witam!Trafił do mnie w celu naprawy MB W140 S 3.2 automat.Sprawdzenie SD sterownik silnika HFM pokazało 2 Błędy.Uszkodzony przepływomierz powietrza,oraz błąd ,,sterownik nie zakodowany"Wchodzę w to,i Star Diagnosis oferuje mi ręczne wprowadzenie kodowania...tylko jakie.Wygląda na to,że ktoś usunął (zresetował) soft.Jest on zależny od wyposażenia pojazdu.Stara...

    Samochody Elektryka i elektronika   23 Gru 2015 09:18 Odpowiedzi: 1    Wyświetleń: 2685
  • [Audi Q7 4L] Jak zmienić kodowanie dogrzewacza na ogrzewanie postojowe?

    Witam wszystkich. Poszukuję informacji o zmianie kodowania dogrzewacza silnika na ogrzewanie postojowe. Audi Q7 3.0 Tdi 2012, Termo Top C, MMI 3G HIGH Moje proby: 08->07(kodowanie)-> 01009416 + 2048-> 01011464->kodowanie przyjete lecz w MMI nie pojawił sie timer, zaś znikła opcja ON/OFF dogrzewacza. Proba nr2 08->07->long coding helper zmiana bajt 2...

    Samochody Klimatyzacje Ogrzewanie   26 Cze 2022 10:30 Odpowiedzi: 5    Wyświetleń: 10035
  • REKLAMA
  • Passat B5 1,8T kodowanie sterownika silnika.

    Kto poda kodowanie sterownika silnika do tego samochodu, kod silnika ANB sterownik ME7.5 skrzynia manualna, nadwozie sedan. Aktualnie jest 05051 i cały czas jest błąd braku komunikacji ze sterownikiem AT.

    Samochody Elektryka i elektronika   02 Lip 2010 14:14 Odpowiedzi: 9    Wyświetleń: 8048
  • Vw Passat 3C 2.0TDI - Kodowanie sterownika silnika. Manual --> DSG

    Sytuacja wygląda następująco. Klient ma Passata 2.0TDI 170KM CBAA 2009r. Highline, skrzynia biegów manualna. Bardzo spodobała mu się skrzynia DSG, a ponieważ do swojego autka jest przywiązany, chce sobie zamontować taka skrzynie. Znalazł juz identycznego Passata na części jako dawce i chciałby abym zrobil mu taka przekładke. Ogarnąłem mniej więcej temat...

    Samochody Elektryka i elektronika   06 Wrz 2012 09:30 Odpowiedzi: 5    Wyświetleń: 6531
  • Mercedes W168 1.7 CDI 2001r - Poszukuję kodowania silnika

    Witam! Potrzebuję "kodowania" do tego samochodu , do sterownika silnika. Samochód trafił z "START ERROR". Po przywróceniu synchronizacji okazało się , że kodowanie w silniku jest 000000000. Niestety w SD brak jakiejkolwiek podpowiedzi - diagnoza jest przez HHTWIN. Potrzebuję kodowania z wyposażeniem : klimatyzacja, automatyczna skrzynia biegów, tempomat....

    Samochody Elektryka i elektronika   10 Paź 2014 08:14 Odpowiedzi: 0    Wyświetleń: 1740
  • Wymiana silnika w A klasie w168 1.6 - błędy p0600 i p0605 po zmianie na sterownik 1.6

    Witam, zmieniałem silnik w A klasie z 1,4 na 1,6. Wszystko ok, ale przy 4 tys. wyskakiwał błąd - czujnik położenia wału i wypadanie zapłonu cyl. 2 i 3. Po wymianie cewki i czujnika dalej to samo. Szef upierał się na wyciąganie silnika i zamianę kół zamach. a ja stwierdziłem i elekt.sam. też, że musi być ster. silnka od 1.6nie od 1,4 bo jest inna mapa...

    Samochody Początkujący   11 Paź 2020 19:10 Odpowiedzi: 8    Wyświetleń: 1017
  • REKLAMA
  • A6 C6 4F0 2004 - Kodowanie sterownika silnika

    Witam panowie potrzebuję zmienić kodowanie w ecu silnika w a6 c6 3.0 tdi bmk, obecne kodowanie mam takie 0011171 potrzebuję zmienić na takie 0011772 potrzebuję zmienić ponieważ jest drugi sterownik. czy muszę się logować do sterownika czy nie robię tak 01 engine coding wpisuję nowe kodowanie dont it i mi nie przyjmuje, pozostaje takie jak było? Proszę...

    Samochody Elektryka i elektronika   03 Lut 2014 15:31 Odpowiedzi: 0    Wyświetleń: 2775
  • A-klasa - kodowanie sterownika silnika

    Witam po fleszowaniu star diagnozą sterownik zgubił kodowanie, nie mogę go przekodować przy kodowaniu ofline woła o pierwsze cyfry kodowania nie mam pojęcia gdzie togo szukać i co chodzi wiem że wis grupa 54 proszę o podpowiedzi

    Samochody Elektryka i elektronika   23 Mar 2015 22:04 Odpowiedzi: 0    Wyświetleń: 735
  • Silnik 1.9 tdi - Do jakiego samochodu osobowego

    Napisz przynajmniej jakie masz oznaczenie kodowe tego silnika, z jakiego passata jest ten silnik, jakiej był mocy. Ogólnie im więcej danych tym dokładniejsze dane otrzymasz w zamian.

    Samochody Początkujący   04 Lip 2018 11:11 Odpowiedzi: 8    Wyświetleń: 945
  • Renault Laguna II wymiana silnika

    Zobacz oznaczenie kodowe swojego silnika i silnika dawcy. Zapewne to F9K i nie powinno być problemu z przekładką.

    Samochody Początkujący   28 Cze 2018 07:23 Odpowiedzi: 1    Wyświetleń: 1842
  • Seat Altea 1.6 MPi - jak wprowadzić kodowanie ECU przez VAG COM 3.6?

    Witam! Proszę o pomoc. W tablicy błędów sterownika silnika widnieje komunikat nr 18020 - sterownik ECU (błędne kody), teraz pytanie jest takie. Czy za pomocą programu VAG K+CAN COMMANDER 3.6 PL FULL, jest możliwość wprowadzenia prawidłowego kodowania silnika, a także w jaki sposób można odczytać bieżące kodowanie silnika?

    Samochody Początkujący   05 Lut 2020 19:32 Odpowiedzi: 0    Wyświetleń: 219
  • Volkswagen golf 5 codowanie sterownika silnika

    Witam .Porzebuje namiar na jakieś dane do kodowania sterownika silnika .Silnik 1.6 BSE grupa wv czy seat czy skoda czy audi . Bład głosi P0610 sterownik silnika błednie zakodowany i swieci sie lampka esp ,kod jest 0000001 ,samochod powypadkowy z Niemiec przebieg 26 tys prawdziwy rok 2007 .Troche to dziwne . Nie jest tam long code . Dzieki z góry za...

    Samochody Elektryka i elektronika   21 Sie 2009 15:28 Odpowiedzi: 4    Wyświetleń: 3936
  • kodowanie ecu silnika audi

    witam , mam audi a4 2002 rok bez can-a , przekładana była skrzynia z multitronica na manuala potrzebuje rozpiske kodowania silnika żeby nie widział automata, orginalne kodowanie 02017, sterownik 8e0907401, silnik 2,5 tdi AYM, na wiki rosstechu nic nie ma na ten temat i niemca też nic , proszę grzecznie o pomoc

    Samochody Elektryka i elektronika   29 Sty 2010 11:22 Odpowiedzi: 2    Wyświetleń: 3106
  • Kodowanie ECU silnika audi

    Witam Potrzebuje rozpiskę kodowania Ecu silnika w Audi. Przekładana była skrzynia z multitronica na manuala. Widzi błędy komunikacji ze skrzynią , pali się ABS i ESP. ABS i ESP, Lcznik są już zakodowane pod manuala, został tylko sterownik silnika. Niestety vcds nie podaje kodowania do tego modelu (silnika). Dane pojazdu: AUDI A4 model 8E5 rok 2002 kwiecień...

    Samochody Elektryka i elektronika   31 Sty 2010 13:33 Odpowiedzi: 7    Wyświetleń: 11067
  • Potrzebuję schemat obwodu zapłonu VW Passat B4 silnik 2E

    Musiały lać wszystkie na raz, bo jedynym czujnikiem położenia wału jest hall w aparacie i sterownik nie jest w stanie określić gmp 1 cyl. Natomiast jedna z nowszych 2l miała czujnik położenia wału za filtrem oleju (koło foniczne było przykręcone do przedostatniej przeciwwagi wału).Aparat w tym modelu miał tylko jedno "okienko" w przesłonie czujnika...

    Samochody Szukam   05 Lip 2010 10:09 Odpowiedzi: 12    Wyświetleń: 9474
  • Opel Crosa 1.3 cdti kodowanie sterownika silnika

    Witam wszystkich forumowiczów, Szukam kogoś kto wie jak zakodować lub czym zakodować lub koduje strownik silnika Opel corsa C 1.3 cdti. Chodzi dokładnie o to że po wymianie sterownika silnika, bcm immo oraz cewki trąbią błądy: przekażnik A/C , trzeci przekażnik wentylatora , czujnik ciśnienia w układzie klimatyzacji. Czyli okazuje się że dawca posiadał...

    Samochody Elektryka i elektronika   14 Lip 2010 20:46 Odpowiedzi: 7    Wyświetleń: 4682
  • A6, awx klopot z kodowaniem sterownika silnika

    Witam Posiadam a6 2003 rok w silniku awx czyli 130Kucy , byla skrzynia biegow multitronic wymienilem na manuala i wszystkie problemy rozwiazane Kodowanie abs przeprowadzone i jest ok . Pozostaje kodowanie sterownika silnika o symbolu 038 906 019 HM w kodowaniu jest 00001 ktore jest dla skrzyni automatycznej, a musze zmienic na kodowanie przypisane manualnej...

    Samochody Elektryka i elektronika   15 Maj 2011 12:52 Odpowiedzi: 1    Wyświetleń: 3799
  • Audi A4 B6 kodowanie sterownika silnika i ABS\ESP

    Witam! Zrobiłem przekładkę skrzyni automatycznej na manualną szukam czegoś na temat kodowania sterownika silnika i ABS/ESP i nic nie mogę zajść. Po przekładce pojawiły mi się taki błędy: ----------------------------------------... Address 01: Engine Labels: 059-907-401-AKE.LBL Controller: 8E0 907 401 Component: 2.5L...

    Samochody Elektryka i elektronika   06 Paź 2011 19:58 Odpowiedzi: 12    Wyświetleń: 16783
  • audi a b7 BWT - kodowanie silnik

    Jak wyżej audi A4 B7 2.0 BWT Tfsi wersja USA Problem ciągle nieszczelności układu odpowietrzenia układy paliwowego-od razu mówię USA ma trochę inaczej niż europa. Jazda spokojna czy też szaleństwo nie ma to znaczenie kiedy się zapali kontrolka silnika i wywala nieszczelności układu więc wszystko sprawdzone część najgorzej wyglądających przewodów wymienione-OD...

    Samochody Elektryka i elektronika   12 Gru 2012 08:44 Odpowiedzi: 4    Wyświetleń: 2409
  • Passat B5FL AWX - Kodowanie sterownika silnika na automat 00001

    Witam kolegów mam pytanie jak mam zakodować u siebie sterownik silnika na wartość 00001 obecnie mam 00005 chce przekodować na automat by lepiej mi odpalał. Kodowanie 00004 również nie idzie robię to vagiem 908.0 u kolegi w audi a3 poszło tylko silnik jest tam na pompie rotacyjnej a u mnie PD może tutaj jest problem. Autko moje jest z roku 2001. Mam...

    Samochody Elektryka i elektronika   14 Lut 2013 20:40 Odpowiedzi: 5    Wyświetleń: 5025
  • GOLF 4 2.0 AQY - Kodowanie sterownika silnika

    Witam, posiadam w aucie sterownik 06A 906 018 GF oraz jego kodowanie 00033 i mam pytanie czy da się go przekodować na manualną skrzynie biegów komputerem czy trzeba będzie zmienić wsad w tym sterowniku ,oraz jakie powinno być kodowanie dla manualnej skrzyni biegów?

    Samochody Elektryka i elektronika   12 Kwi 2013 13:58 Odpowiedzi: 3    Wyświetleń: 4497
  • mercedes sprinter cdi 313 kodowane sterownika silnika/skrzynia biegów

    Witam, mam do zmiany skrzynie biegow z pułautomata 6 biegón na manuala/ i dodatkowo zmiana mostu na szybki. Proszę o podpowiedzi jak najszybciej to zrobić. 1--wiem,że jak zmienie most to pędkość bedzie oszukiwał-jak to poprawić/jest tacho na dwie karty siemens vdo - chcę go usunąć/ 2--jak usune sterownik od skrzyni biegów to mi autko nie zapali-coś...

    Samochody Początkujący   05 Lip 2013 11:16 Odpowiedzi: 2    Wyświetleń: 4059
  • VW GOLF V 1.4 - Kodowanie sterownika silnika

    Witam. Mam problem z sterownikiem silnika (MKV 1.4 80KM 2007r ) - auto szarpie, jest problem z jazdą, trzeba utrzymywać minimum 3tys. obrotów, aby auto jechało. Po podłączeniu pod 'komputer' wywala błąd kodowania sterownika, przy próbie zakodowania wyrzuca błąd. Przy kodowaniu akceptuje tylko wartość '1', czy ktoś jest w stanie pomóc?

    Samochody Elektryka i elektronika   16 Lip 2013 12:48 Odpowiedzi: 10    Wyświetleń: 9729
  • Passat B6 1.9 TDI - Kodowanie sterownika silnika

    Witam Prosze o prawidłowe kodowanie sterownika silnika w passacie B6 1.9TDI BKC z roku 2006,kodowanie 0000071 nie jest poprawne bo kontrolka swiec cały czas miga i wyskakuje błąd czujnika stopu a stop cały czas swieci,natomiast w logach w silniku i abs ok bo po nacisnieciu 0 zmienia na 1 a wszystko zaczeło sie po przełożeniu sterownika silnika,prosze...

    Samochody Elektryka i elektronika   21 Lip 2013 21:46 Odpowiedzi: 9    Wyświetleń: 6897
  • Audi A6 - kodowanie silnik z automata

    Witam kolegów Audi A6 1,9tdi 130 km AVF Problem mam tego typu że została zmieniona skrzynia z automatycznej na manualną i trzeba przekodować sterowniki wszystko mam tylko sterownik silnika nie idzie zmienić z 00001 na 00002 ciągle trzyma 00001. Moje pytanie czy idzie jakoś to zmienić lub może któryś z kolegów posiada orginał wsad taki od manuala? Nr...

    Samochody Elektryka i elektronika   17 Paź 2013 20:48 Odpowiedzi: 25    Wyświetleń: 6015
  • VW Sharan 2003 - Kodowanie sterownikow, silnik, ABS,zegary.

    Witam, potrzebuje opisu kodowania sterownikow VW Sharan 2003r. W aucie sterowniki zglaszaja blad kodowanie, dotyczy ABS, silnik, zegary. Dziekuje za pomoc.

    Samochody Elektryka i elektronika   11 Kwi 2014 07:06 Odpowiedzi: 19    Wyświetleń: 9003
  • Fabia 1.4 16V AUB - Prawidłowe kodowanie sterownika silnika

    Witam, proszę o pomoc w sprawie prawidłowego kodowania sterownika silnika: Marelli 4LV 036 906 034 BK kodowanie było 00095 zmieniłem na 00071 wg podpowiedzi VCDS, ale wyświetla cały czas błąd P1612 - sterownik błędnie zakodowany. NR Vin TMBMD26Y9Y3050582 z ABS, AIRBAG 4x, klimatyzacja manualna, skrzynia biegów manualna. Chciałbym się upewnić, które...

    Samochody Elektryka i elektronika   20 Sty 2015 23:04 Odpowiedzi: 9    Wyświetleń: 9645
  • Sharan 1.9 tdi 1999 silnik AFN - ciężkie palenie na ciepłym silniku

    Jeśli nie dbasz o swoje auto to możesz VCDS em zmień kodowanie silnika z 00002 na 00001, będzie palił od strzała na ciepło tak jak na zimno.

    Samochody Mechanika   07 Wrz 2014 08:38 Odpowiedzi: 36    Wyświetleń: 7827
  • Passat B5 kodowanie sterownika silnika

    Witam! Problem dotyczy Passata z 2002r, silnik 2.0 Benzyna, 85 kW, kod silnika AZM. Panowie w aucie została przełożona skrzynia biegów automat na manual. Zrobione odpalanie, prędkościomierz, światła cofania. Przekodowane: CAN Gateway (z 00006 na 00004) i sterownik ABS (Bosch 5.7). Problem to zrobienie kodowania sterownika silnika pod skrzynię manualną(...

    Samochody Elektryka i elektronika   01 Sty 2018 21:06 Odpowiedzi: 4    Wyświetleń: 8160
  • VW Touareg 7L 2003r. 3,2l AZZ - kodowanie ECU silnika

    Witam! Potrzebna jest tabela do zakodowania ECU tego silnika . Sterownik był wymieniany. Aktualny błąd P1612. Proszę o pomoc.

    Samochody Elektryka i elektronika   30 Kwi 2015 17:51 Odpowiedzi: 4    Wyświetleń: 1659
  • VW Passat 1.9TDI AFN '98 - Nieprawidłowe kodowanie silnika?

    Witam! Problem dotyczy VW Passat 1.9 TDI AFN (98)z układem ESP (Bosch 5.3). Klient trafił do mnie ze świecąca kontrolką układu ESP., w sterowniku którego był zarejestrowany tylko jeden błąd: mówiący o zapisaniu usterek w sterowniku silnika. Co ciekawe w ECU silnika brak błędów, kodowanie sterownika silnika 0002 (soft. coding). Z rozmowy z klientem dowiedziałem...

    Samochody Elektryka i elektronika   18 Sie 2017 18:17 Odpowiedzi: 11    Wyświetleń: 6651
  • VW Passat B7 2.0 Tdi CFGB DSG - Kodowanie sterownika silnika

    Witam Koledzy potrzebuję podpowiedzi gdzie w kodowaniu jest zawarte typ skrzyni oraz start-stop Które bity i bajt Ew potrzebuje kodowania ster silnika dla Passata B7 2.0 Tdi CFGB DSG start-stop

    Samochody Elektryka i elektronika   31 Lip 2021 11:09 Odpowiedzi: 7    Wyświetleń: 4428
  • Audi A6 C6 2.7tdi 2008r. - Kodowanie sterownika silnika

    Witam muszę przekodować sterownik silnika z samochodu z automatyczna skrzynia multitronik, na manual. Teraz kodowanie jest takie: 0011382 Nie ma pomocnika kodowania w vcds, wiec może ktoś by pomógł jak je zmodyfikować. Czy jednak nie ma opcji przekodowania i trzeba wymienić sterownik silnika, z auta z manualem? Ogólnie auto jest po przekładce automatu...

    Samochody Elektryka i elektronika   22 Gru 2017 20:13 Odpowiedzi: 6    Wyświetleń: 10146
  • VW GOLF V 1.4 - Kodowanie sterownika silnika

    Witam Serdecznie, Wracając do tematu, mam podobny problem z golfie, jakim interfejsem udało się Tobie zakodować sterownik ? 3.1.19. Nie wysyłaj wiadomości w tematach archiwalnych, jeśli jest to kolejne pytanie, w szczególności inne niż osoby pytającej. Z racji szacunku do pytającego załóż własny temat. Możesz dopisać tylko sposób rozwiązania problemu.Wydzieliłem...

    Samochody Początkujący   25 Lut 2018 18:04 Odpowiedzi: 1    Wyświetleń: 498
  • VW Passat B5 fl - VW Passat B5 Fl kodowanie sterownika silnika

    Witam Mam problem ze swoim samochodem a jest nim VW Passat b5fl. Silnik 1600 o kodzie ALZ. Silnik generalnie jezdzi, ale na wolnych obrotch nie pracuje rowno. Wpiolem sie w komputer i wyskoczyl blad 1820 sterownik ECM - bledne kody. Poczytalem troche na forum i jest potrzeba ponownego zakodowania sterownika silnika. I tutaj moje pytanie czy ktos posiada...

    Samochody Elektryka i elektronika   29 Wrz 2018 12:16 Odpowiedzi: 4    Wyświetleń: 2055
  • Seat Toledo '05 1.6 MPI - VCDS - niepoprawne kodowanie modułu silnika

    Witam, samochód jak w temacie, kodowanie ustawione jak na rysunku. Zgłaszany błąd to: 18020 - Engine Control Module Incorrectly Coded P1612 - 008 - Freeze Frame: RPM: 0 /min Bin. Bits: 00000001 Voltage: 11.63 V Temperature: 90.0°C Readiness: N/A Co może być nie tak? Samochód ma manualną skrzynię biegów, ABS, Airbag, nie ma climatronica, nie wiem jak...

    Samochody Elektryka i elektronika   01 Wrz 2020 17:48 Odpowiedzi: 1    Wyświetleń: 528
  • Drukarka do kubków wykorzystująca silniki krokowe

    Żadne biurko nie jest do końca kompletne, jeżeli nie ma na nim własnego kubka z zaparzoną herbatą. Można oczywiście kupić jakiś standardowy kubek w sklepie, ale czy nie warto zaprojektować własnego wzoru, który wyrazi naszą osobowość? Najprostszym sposobem jest zrobienie odpowiedniego projektu i wysłanie go do jednej z internetowych firm wykonujących...

    DIY Zagranica   21 Mar 2013 21:20 Odpowiedzi: 4    Wyświetleń: 12864
  • SEAT IBIZA CUPRA 2005 i ESP 1.34 problem z kodowaniem.

    witam, dzięki za podpowiedzi. metodą prób i błędów doszedłem do połowicznego rozwiązania problemu kodowania. Postawiłem drugą Ibizę obok i zaczęło się przekładanie, na początek poszedł agregat ABS i dalej to samo. W tej drugiej się koduje wkładam do swojej i jest 0000000 potem czujnik przeciążeń, licznik sterownik silnika i centrala elektryczna i tez...

    Samochody Elektryka i elektronika   28 Maj 2009 21:21 Odpowiedzi: 9    Wyświetleń: 4013
  • Zapłon silnika. Konstruowanie zdalnego zapalnika.

    Postaraj się aby twoje wypowiedzi były bardziej zrozumiałe. Błędy również postaraj się poprawić. Domyślam się że chodzi o silnik rakietowy odpalany żarem włókna. Modelarstwo rakietowe to fascynujący temat ale również dość niebezpieczny. Jeżeli chodzi o zdalne uruchomienie takiego silnika polecałbym wykorzystanie elementów starego alarmu. Lub gotowych...

    DSP i Transmisja   28 Lut 2006 14:12 Odpowiedzi: 3    Wyświetleń: 1275
  • Ford Mondeo - Kodowanie wtryskiwaczy czy jest konieczne

    Same to się nie dokodują na pewno. Powiem Ci tak. Zależy od silnika, niektóre nie wymagają kodowania i będą chodziły, inne nie odpalą bez poprawnego kodowania. Jeśli zakładasz nowe, bądź regenerowane to obowiązkowo je zakoduj, wtedy dograsz system. Każdy wtrysk ma jakieś korekcje, nie zrobią Ci 4 wtrysków idealnych, jeden daje mniej paliwa inny więcej...

    Samochody Elektryka i elektronika   14 Kwi 2016 20:22 Odpowiedzi: 9    Wyświetleń: 9405
  • Ford transit 2.2 tdci 2008r - Ropa w oleju silnikowym.

    Czy wtryskiwacze trzeba koniecznie kodować? Mechanik powiedział mi tak "piszą że trzeba, a z doświadczenia wiem że, różnie to bywa. Czasem po kodowaniu nie można autem wjechać pod małą górkę, dopiero jak silnik się zagrzeje to komputer sam sobie ustala wartości. Bez kodowania komputer też sobie z tym poradzi" I ponawiam prośbę odnośnie manometru. Może...

    Samochody Początkujący   05 Kwi 2022 10:03 Odpowiedzi: 17    Wyświetleń: 1872
  • Fiat Doblo 2.0 Multijet - problemy z kodowaniem wtryskiwaczy, ELM327, Multiecuscan

    A daj znać czy będzie jakaś różnica w pracy silnika. Wydaje mi się że tu jest Bosch i nie wymaga to kodowania.

    Samochody Początkujący   01 Kwi 2023 21:35 Odpowiedzi: 5    Wyświetleń: 342
  • Polonez 98 kodowanie nowych kluczyków

    OK przemogę swoje lenistwo i przepiszę sposób z książki, tylko nie będę w stanie powiedzieć na temat tego tekstu nic więcej bo sam jeżdżę dieselkiem. Kodowanie immobilizera 1. Czerwonum kluczykiem włączyć i wyłączyć zapłon w czasie nie dłuższym niż 2s i wyjąć kluczyk. Przy włączonym zapłonie dioda się świeci. 2. W czasie krótszym niż 10s włożyć czarny...

    Samochody Elektryka i elektronika   14 Sie 2004 18:04 Odpowiedzi: 10    Wyświetleń: 5427
  • BMW 735i 91r/ kodowanie. Jak obchodzić się z blokowaniem?

    Witam :) Przyjechał do mnie wujaszek który zakupił sobie samochodzik (jak w temacie) Ma w środku komputer w który może wpisać kod, po którego wpisaniu ponoć nie można uruchomić samochodu. Mam w związku z tym pytanko. Czy mógłby mi ktoś przybliżyć jak się obchodzić z tym blokowaniem?? Tzn jak wpisać kod jak zatwierdzić?? czy trzeba będzie wpisywać go...

    Samochody Elektryka i elektronika   28 Lut 2005 12:51 Odpowiedzi: 5    Wyświetleń: 1293
  • ELM327 - kodowanie. Zamiana na kod binarny.

    Nie wiem czy temat jeszcze kolegę interesuje, ale może innych tak. Generalnie jest tak ze po wysłaniu zapytania np: o obroty (poprzez elm327): 010C to powinieneś otrzymać odpowiedź: 41 0C 1A F8 Przy czym 41 0C - potwierdza prawidłowe zapytanie i odpowiedź, a 1A F8 - to wartość rpm w hex, a więc 6904 w dec. Ale uwaga wartość rpm podawana przez ELM327...

    Programowanie   03 Cze 2009 16:36 Odpowiedzi: 2    Wyświetleń: 3164
  • Ford Mondeo 1.8 TD - silnik nie pali

    Może klucz ( np wyleciał transponder), może pętla, może PATS, może zawór kodowy na pompie, może sterownik silnika.. Kto to może wiedzieć.

    Samochody Początkujący   30 Wrz 2009 17:12 Odpowiedzi: 2    Wyświetleń: 2444
  • Audi A6 2.5tdi AKE automat na manuala jak kodowac?

    zabralem sie za przekladke A6 2.5 TDI z silnikiem AKE i automatyczna skrzynia na skrzynie manualna. podzespoly zakupione jedyne co jest mi niezbedne to dane odnosnie zmiany kodowania w ESP, ECU, zegary (loginy oraz parametry) oraz info gdzie poszukiwac przekaznika do zmostkowania aby odpalil Jesli robisz diagnozujesz "czyms" dobrym to powinienes miec...

    Samochody Elektryka i elektronika   13 Gru 2009 11:48 Odpowiedzi: 4    Wyświetleń: 7872
  • VW Touareg 2.5 tdi Czy pasuje silnik od VW Tranportera T5

    no ale skoro silnik taki sam to dlaczego? jesli roznica jest tylko rozrusznik? Wszystke czujniki sa w tych samych miejscach czy chodzi o reszte sterownika nie zwiazana z silnikem???? Dodano po 1 jak mape pod silnik skoro jest taki sam???? nie rozumiem o co stoi na przeszkodzie ( takie sam wtrryski, glowica tloki itd) Na chlopski rozum moze i taki sam,...

    Samochody Mechanika   04 Gru 2010 20:42 Odpowiedzi: 8    Wyświetleń: 9704
  • Omega B 2.5V6 '95 pytanie o parametry bieżące/kodowanie.

    Witam! Trafił mi się "ciekawy przypadek":) Przyholowano do naprawy auto jak w temacie - nie uruchamia się silnik tzn. kręci rozrusznik i nic poza tym...Ponieważ było chwilę przed zamknięciem warsztatu, zdążyłem tylko podejrzeć w parametrach bieżących interesujące mnie wartości. Ku wielkiemu zdziwieniu zauważyłem: konfigurację silnika: 4 cylindrowy,...

    Samochody Elektryka i elektronika   12 Lut 2011 19:01 Odpowiedzi: 7    Wyświetleń: 1449
  • Mercedes A klasa jak kodowac sterowniki

    Witam . Mam pytanie odnosnie mercedesa A klasy. W jaki sposob mozna odczytac kod kodowania np.jednostki sterojacej silnika w mercedesie za pomoca Start Diagnost .Chodzi mi o zdiecie starego kodu i zakodowanie nowym kodem.:?:

    Samochody Elektryka i elektronika   17 Maj 2011 09:37 Odpowiedzi: 0    Wyświetleń: 1872
  • Golf IV 98r - Błędne kodowanie...

    Witam Silnik 1.4 16V bez klimy cały czas wywala 01044 - błędne kodowanie ABSu Sterownik 1J0 907 379 G kodowanie 03604 Ciekawe, że klient podstawił auto z problemem rozładowywania akumulatora (a potem stwierdził, że ABS też się zaświecił) i rzeczywiście pobór ok 140mA, ale okazało sie że jak wypnę wtyczkę ABSu to spada do ok 15mA, więc coś ze sterownikiem......

    Samochody Elektryka i elektronika   23 Lis 2012 18:34 Odpowiedzi: 9    Wyświetleń: 4278
  • Peugeot 307 1,4HDI - Nierówna praca silnika

    Zamieniłem z powrotem na tą pompę drugiej generacji, przerobiłem powrót paliwa bo przy tej drugiej pompie listwa CR miała zawór ciśnieniowy i wykonałem telekodowanie. Silnik odpalił bez problemowo, chodzi równo i cicho. Szkoda że przeczytałem o tym kodowaniu jeden dzień za późno było by mniej roboty, przynajmniej wiem że oryginalna pompa nie przeżyła...

    Samochody Elektryka i elektronika   09 Wrz 2014 22:17 Odpowiedzi: 15    Wyświetleń: 3525
  • Wymontowanie ECU silnika- Odłączać baterię czy nie?

    ECU nie wyłącza się natychmiast po wyłączeniu zapłonu, w niektórych samochodach trzeba poczekać nawet kilka minut na "uśpienie" ECU. Często jest to tylko uśpienie, a co najmniej jedna linia zasilania zostaje "pod napięciem". Historycznie jest to podyktowane ograniczeniem cykli zapisu do pamięci EEPROM. Podczas jazdy sterownik korzysta z pamięci RAM,...

    Samochody Elektryka i elektronika   25 Mar 2016 18:12 Odpowiedzi: 13    Wyświetleń: 6564
  • Citroen - C2 1.1benz pinout ecu silnika.

    Witam Potrzebuje pinout ecu do 1.1 8V Magneti marelli 48P2.7H. Auto kolezanki przyjechala z wakacji padla bateria i podlaczyli z sasiadem odwrotnie kable rozruchowe zaiskrzylo i silnik kreci ale nie odpala. Bledy stale nie da sie wykasowac 0329, 0327, 1524,0505 jak mu inicjuje dodatkowe bledy np. rozpinajac cewke i map wypluwa dodatkowo blad /0606 -ecu...

    Samochody Elektryka i elektronika   28 Kwi 2016 11:11 Odpowiedzi: 2    Wyświetleń: 5553
  • Skoda Octavia I 2002 - Błąd kodowania sterownika ESP po przekładce elementów z Golf IV

    Octavia I jak Golf IV przekładka do kasty 99 silnik na pompie wszystkiego praktycznie z Octavi I 2002 na pompowtrysku. Przełożony został ABS/ESP czujniki itp wszystko działa jedyne co to sterownik wyrzuca błąd nieprawidłowego kodowania. Z mojego doświadczenia, to typ hamulców ( spisywany z etykiety w bagażniku) , sterownik silnika skrzynia biegów ew....

    Samochody Elektryka i elektronika   10 Gru 2021 09:16 Odpowiedzi: 5    Wyświetleń: 465
  • Silnik zapala i po chwili gaśnie - A4 1,9TDI-90KM-'95

    Jeżeli utrata komunikacji to do kodowania. Będzie to widać bo komputer nie pokaże kodów usterek na immo a silnik zablokowanie. Często jest też za slaby sygnal kluczyka i wtedy nowa cewka lub wywalenie immo. Pozdrawiam

    Samochody Elektryka i elektronika   06 Lip 2006 19:08 Odpowiedzi: 2    Wyświetleń: 990
  • kodowanie ABS w ibiza 1.9Tdi (AFN)

    witam wymienilem spalony sterownik absu, ale nowy wyrzuca blad zlego kodowania. auto: seat ibiza 1.9 TDi (AFN), rok '99 pompa abs: 1J0 614 217C sterownik: 1J0 907 379 H (ABS/EDS) probowalem 03504, 13504 i 03604. Mimo iż sterownik przyjął te kody, to i tak nie pasują bo kontrolka wciąż się swieci a błąd nie chce się skasować. Czy ktoś może mi podać prawidłowe...

    Samochody Elektryka i elektronika   08 Gru 2008 13:05 Odpowiedzi: 0    Wyświetleń: 3604
  • Ford Fiesta 1.2 2004 - kontrolka ABS i silnika - błąd U0121

    Problem rozwiązany padła pompa. Można wsadzić od focusa ale trzeba przerobić wtyke. Działa bez żadnego kodowania. Pozdrawiam

    Samochody Elektryka i elektronika   14 Gru 2008 19:12 Odpowiedzi: 3    Wyświetleń: 11148
  • Podłączenie silnika z pralki Whirlpool AWG879 - do sprawdzenia działania

    Potrzebuję podłączyć do sprawdzenia, silnik z pralki, chyba Whirpool - model z przed 7 lat. Jest to silnik dwubiegowy z tachoprądniczką. Kostka jest 8-mio pinowa. Zidentyfikowałem już na kostce oba uzwojenia, wyjścia ze szczotek, styki tachoprądnicy. Teraz zastanawia się jak to podłączyć pod zasilenie. Chodzi mi o sprawdzenie prądu rozruchowego i działanie...

    AGD Początkujący   23 Lis 2011 06:18 Odpowiedzi: 6    Wyświetleń: 9669
  • passat FL - Zmiana silnika jak zakodować ECU

    Najpierw piny potem kodowanie.

    Samochody Elektryka i elektronika   26 Lut 2013 21:42 Odpowiedzi: 16    Wyświetleń: 4359
  • Ford Galaxy - Kodowanie ze skrzynią manualną.

    Witam mam galaxy z 2001r. po zmianie skrzyni z automata na manual i chcę zmienić kodowania. licznik 29121 chyba jest ok. can gateway jest 0006 na 0004. silnik jest 0001 na 0002. Proszę o sprawdzenie czy kodowania są dobre.

    Samochody Elektryka i elektronika   16 Wrz 2013 21:22 Odpowiedzi: 0    Wyświetleń: 1518
  • Passat B5, AHL 1,6 benzyna - Dziwne zachowanie IMMO przy kodowaniu kluczyka.

    Witam. Pomimo ogromu wiedzy na ten temat nie znalazłem przypadku, który pasuje do tego, co mnie spotkało /albo nie potrafię znaleźć analogii/. Passat b5, 1997 rok, 1,6 benzyna, silnik AHL, zegary z zielonym podświetleniem. Licznik uległ uszkodzeniu - przestał działać obrotomierz i zaczęła migać lampka oleju /co jest typowe jeśli nie działa obrotomierz...

    Samochody Elektryka i elektronika   05 Kwi 2014 14:22 Odpowiedzi: 3    Wyświetleń: 3192
  • VW PASSAT B6 KODOWANIE LICZNIKA OIL SENSOR WORKSHOP I KLIMATYZACJA

    Witam serdecznie, mam problem z kodowaniem zegarow(sorki za brak polskich znakow win ANG) w VW Passat B6 2.0Tdi sedan 2007rok silnik bmp. Pokazal mi sie nieszczesny komunikat: OIL SENSOR WORSHOP po wymianie czujnika brak rezultatu i wspolnie z kumplem po probach na mierniku i vas 5054a doszlismy do wniosku ze uszkodzona jest wiazka... Nie moge namierzyc...

    Samochody Początkujący   08 Cze 2019 07:45 Odpowiedzi: 4    Wyświetleń: 8397
  • Polo 1.4 silnik AUB 2002r - VW polo 1.4 AUB 2002r 036 906 034 DD immobilizer

    Witam W moim polo 1.4 16v benzyna 2002r zostal wymieniony licznik na nowy (stary padł) i powstał problem auto pali i od razu gaśnie, powód to immobilizer (mruga kontrolka). Posiadam Commander 1.4 FULL, dzięki któremu bezproblemowo zczytuje pin z nowego licznika (stary nie żyje), natomiast nie mogę zczytać pinu ze sterownika silnika (brak komunikacji),...

    Samochody Zabezpieczenia   27 Mar 2015 07:56 Odpowiedzi: 6    Wyświetleń: 4128
  • Sterownik silnika Fiat Stilo 1.6 16 brak jazdy

    Witam mam problem z autem marki Fiat Stilo problem pojawił się po wymianie sterownika silnika który odmówił posłuszeństwa. Wyskakujace błędy to engine fault bułkę Asr itp klucz i inne jakie są możliwe.zakupilem używany sterownik o tych samych parametrach i symbolach z całym zestawem immo body Computer auto pracowało na nim rok. Ponownie kolejny sterownik...

    Samochody Początkujący   21 Cze 2016 21:21 Odpowiedzi: 4    Wyświetleń: 4092
  • Seat Ibiza 6j 1.9 - Brak długiego kodowania w ABS

    Witam serdecznie. Posiadam Ibizę z 2009r 1.9tdi , samochód kupiłem bez licznika , zakupiłem inny licznik wg nr vin , dopisałem immo i silnik odpala działa jak należy. Ale po włożeniu innego licznika prawdopodobnie wysypało się długie kodowanie w ABS , w oknie kodowanie pokazuje same 00000000 oraz wyrzuca błąd 01042-brak kodowania. Próbowałem wpisywać...

    Samochody Elektryka i elektronika   13 Gru 2018 08:36 Odpowiedzi: 3    Wyświetleń: 2271
  • Ford Focus MK3 Lift - Sterownik silnika...

    sid807evo, 2014 to trudny do odczytania. używka i kodowanie IDS tylko z online.

    Samochody Elektryka i elektronika   20 Maj 2019 15:50 Odpowiedzi: 12    Wyświetleń: 7320
  • WV 1.9D 64km - Podłączenie silnika na krótko

    Musiałby mieć pancerną obudowę pompy, kryjącą zawór kodowany.

    Samochody Początkujący   20 Sty 2017 21:18 Odpowiedzi: 6    Wyświetleń: 1677
  • Sterowanie roletami z silnikami firmy Sukces

    Witam, gdy kupowałem rolety zależało mi aby mógł sterować nimi z jednego pilota i to się udało. Mam pilot firmy Yooda, który może włączać i wyłączać określone rolety o określonej porze. Sterować wszystkimi jednocześnie i z osobna. Wszystkie moje rolety mają wbudowane obiorniki 433MHz w związku z czym sterowanie odbywać się może jedynie drogą radiową....

    Smart Home IoT   03 Lis 2017 12:50 Odpowiedzi: 3    Wyświetleń: 3939
  • Vw Passat b6 2006rok - Elektryczny ręczny przeskakuje ?silnik?

    Wymieniłem elektryczne silniczki/nastawiniki przy zaciskach na całkiem nowe(tak mi poradził człowiek który regenereuje te zaciski) i "przeskakiwanie" ustało. Po regulacji błędy dało się wykasować i ręczny działa. Mam od początku jeszcze jeden błąd: 02844 - Lamp for Automatic Parking Function - sporadyczny ale wykasować się go nie da. Mimo to ręczny...

    Samochody Początkujący   05 Mar 2018 17:30 Odpowiedzi: 3    Wyświetleń: 915
  • Dlugie kodowanie a3 8p anglik mk60ec1 h45 0107 1k0907379an

    Po wyjęciu licznika i podpieciu innego wyrzuciło kodowanie Absu. długie kodowanie. teraz sa same zera wszystkie kontrolki sie swieca od abs itp. MK60EC1 Silnik 1.9tdi Kierownica po prawej stronie ANGLIK Numer pompy 1K0 907 379 AN Numer VIN WAUZZZ8P1AA061871 A niżej foto wklejki w bagażniku Pomoże ktos wygenerowac kod bo nie moge sam dac rady.

    Samochody Początkujący   04 Maj 2018 21:32 Odpowiedzi: 0    Wyświetleń: 1176
  • Pralka Beko WMB-81244XRC - wymiana silnika

    Witam, Chciałbym sie podpiąć do tematu. Tak, jak koledzy powyżej, mam problem z tą samą pralką. W pralce nie obraca się bęben. Po sprawdzeniu w menu serwisowym wyskoczył błąd 0417 co świadczy prawdopodobnie o uszkodzonym silniku. Sprawdziłem połączenia wszystko jest ok. Napięcia zasilające dochodzą, wiązka od sterowania również jest sprawna. Wyciągnąłem...

    AGD Początkujący   09 Mar 2021 14:30 Odpowiedzi: 11    Wyświetleń: 4161
  • DCM 1.2 delphi renault modus - Sterownik silnika DCM 1.2 delphi w którym eprom

    Witam Jak w temacie Uszkodzony został sterownik silnika delphi dcm 1.2 Kupiłem drugi o takim samym kodzie. Chce przelutowac pamięć z immo tylko pytanie gdzie ona się znajduje, i czy tak się wgl da zrobić bez kodowania. Proszę o konkretną odpowiedź. Dodaje Zdjęcie takiego samego sterownika jak mój

    Samochody Zabezpieczenia   31 Sie 2019 16:16 Odpowiedzi: 1    Wyświetleń: 1656
  • scudo 140KM - Silnik 2.o hdi RHR jest zamienny z RHJ jakie są róznice?

    RHJ był na Siemensie RHR część na Siemensie a później już na Delphi RHR miały bodaj do 2006r wadliwe EGR`y Sporo różnic osprzętowych, kodowania, kodowania, kodowania. Bez dobrego elektronika samochodowego z kompem się nie obędzie.

    Samochody Początkujący   09 Cze 2021 08:36 Odpowiedzi: 10    Wyświetleń: 3663
  • Wymiana silnika Ford Focus MK2 1.6 TDCi - kwestie związane z przeróbką komputera i dopasowaniem

    Tłok się nie krzywi przez lejące wtryski co więcej w ogóle w jakiś kolwiek sposób tłok się nie krzywi. Komputera nikt nie przerabiał pod inne wtryski mimo że każdy był inny, bo zawsze będzie każde inne bo są kodowane. Może być tylko system wtryskowe innej firmy w co raczej wątpię. Bo tam tylko Bosch był. Kupiłeś ten silnik konkretny od Forda bo tak...

    Samochody Początkujący   11 Lip 2021 00:26 Odpowiedzi: 1    Wyświetleń: 1419
  • Dopisywanie wtrysków z silnika 1.6 HDi 110KM do 1.6 HDi 90KM - doświadczenia?

    Nie wymagają kodowania. Wtryskiwacze Bosch?

    Samochody Początkujący   18 Lip 2021 08:02 Odpowiedzi: 3    Wyświetleń: 594
  • Wymiana baterii w pilocie Scenic 1 lift 2001 - czy wymaga kodowania?

    Witam wszystkich serdecznie. Mam scenik 1 lift 2001 r. Silnik 1.6 . Mam mianowicie problem z otwieraniem i zamykanie drzwi. Podejrzewam że pada bateria w kluczyku. I mam takie pytanie czy da się samemu wymienić taką baterię i czy potem należy taki pilot kodowac Nie wiem czy ten pilot jest na podczerwien czy radiowy.

    Samochody Początkujący   18 Sie 2021 20:42 Odpowiedzi: 3    Wyświetleń: 207
  • VW Touran 1.9 TDi 2004r. - Odcięty fragment wiązki silnika.

    Auto pali nawet całkiem sprawnie, jak na stan w jakim niektóre podzespoły się znajdują. A oto i błędy: Typ podwozia: 1T (1K0) Skanowanie: 01 03 08 09 15 16 17 18 19 25 42 44 46 52 56 62 69 72 VIN: WVGZZZ1T Przebieg: 268520km 00-Czujnik kąta skrętu kierownicy -- Stan: OK 0000 01-Silnik -- Stan: Usterka 0010 03-ABS/hamulce -- Stan: OK 0000 08-Klimatyzacja...

    Samochody Elektryka i elektronika   02 Wrz 2022 12:19 Odpowiedzi: 4    Wyświetleń: 480
  • AUDI A6 C5 Avant 2.4 BDV - Kodowanie sterownika skrzyni biegów multitronic

    Właśnie wymieniłem sterownik skrzyni biegów CV30 na taki sam ( 01J927156JJ ), ale sprawny. Niestety, pojazd nie ruszy dopóki nie dostosuje się ustawień sterownika. Czy da się za pomocą VCDS ściągnąć ustawienia starego sterownika i wgranie danych do nowego? Jeżeli okazałoby się, że stary sterownik jest niesprawny (np. brak kumunikacji), to czy jest możliwość...

    Samochody Początkujący   06 Maj 2023 16:58 Odpowiedzi: 0    Wyświetleń: 519
  • Audi A3 8P 2009 LIFT - długie kodowanie ABS. Same zera

    Witam wszystkich. Po podpięciu obcych zegarów wysypało się kodowanie ABS, są same zera i na liczniku mrugają kontrolki ABS ESP i hamulca. Proszę o wyliczenie tego kodowania jeśli ktoś ma wiedzę jak to zrobić. Podaję dane: VIN: WAUZZZ8P9AA037950 Silnik: CBA 2,0 TDI s-line ABS SW: 1K0 907 379 AN Komponent: KlimavollautomatH09 014 Numer seryjny: 8P0820043BK...

    Samochody Elektryka i elektronika   02 Sie 2023 22:04 Odpowiedzi: 3    Wyświetleń: 204
  • Nierówna praca nowego silnika 1.6HDI 112KM Peugeot 5008 po wymianie - wymiana EGR i wiązki

    Witam wszystkich ! Nie chcę się za bardzo rozpisywać, więc przejdę do konkretów. Z uwagi na zablokowany wał w starym silniku 1.6HDI 112KM 8V, postanowiłem wymienić go na nowy (wstępna diagnoza - pourywane panewki). Znalazłem taki sam silnik założony jeszcze na aucie. Pojechałem, posłuchałem, podłączyłem pod komputer - wszystko wydawało się być ok tj....

    Samochody Mechanika   08 Wrz 2023 08:28 Odpowiedzi: 3    Wyświetleń: 492
  • Jak zrobić sterownik do silnika krokowego z pilotem do regulacji prędkości?

    A teraz pytanie jak podłączyć pilot do tego by działał tak jak ja chce Podłączasz do Arduino odbiornik IR albo radiowy, i obslugujesz go w programie pod kątem kodowania.

    Początkujący Elektronicy   15 Lut 2024 19:55 Odpowiedzi: 35    Wyświetleń: 462
  • Szukam kości do sterownika silnikem Fiata Uno

    Jest to układ TLE 1042.W samochodzie występuje pod kodowanym oznaczeniem.Układ tem to wg katalogu "motor speed regulator".W sterowniku pełni funkcję drivera silniczka nastawczego przepustnicy.Szukać w dobrych firmach elektronicznych.Występuje też układ TLE1041.Różnii się on od TLE1042 tym że ma mniejszy prąd wyjściowy(1,5A)

    Samochody Elektryka i elektronika   16 Maj 2003 06:56 Odpowiedzi: 2    Wyświetleń: 1498
  • Silnik, przekładnia, enkoder

    Witam Enkoder absolutny impulsator, który przyporządkowuje odpowiednim wartościom kąta, kodowane wartości liczbowe. Na wałku napędowym enkodera znajduje się tarcza kodowa, która zawiera w formie kodu wartości liczbowe odpowiadające przesunięciom kątowym. Pozwala to na zadawanie wartości absolutnych w dowolnym momencie, bez konieczności porównywania...

    Robotyka Modelarstwo i CNC   03 Lip 2006 19:52 Odpowiedzi: 32    Wyświetleń: 17721
  • Szukam kodowanie do climatronica Audi A4 1996- Pilne !

    Pilnie poszukuję kodowania do panela klimatronika do samochodu Audi A4 rocznik 1996,silnik benzyna V6 z automatem. Jeżeli ktos posiada kodowania do innej wersji silnikowej też chętnie spróbuje.( klimatronic)

    Samochody Elektryka i elektronika   15 Lis 2007 08:29 Odpowiedzi: 5    Wyświetleń: 3303
  • A6 2,5 tdi 180km AKE zle zakodowany sterownik silnika-pomocy

    Witam seredecznie, mam nastepujacy problem Audi A6 rocznik 2000 z silnikiem 2,5 TDI 180KM (AKE) ABS/ESP nie działa, w sterowniku pojawia się błąd 18256 (odczytać kody błędów sterownika silnika. P1848 -35-00--) brak możliwości skasowania. Na sterowniku silnika błędów nie ma, linia CAN między silnikiem i ABSem sprawna. sterownik silnika 4B2 907 401E jest...

    Samochody Mechanika   30 Maj 2010 10:19 Odpowiedzi: 3    Wyświetleń: 5934
  • ford connect tdci 2004 kodowanie wtryskiwaczy

    mam jeszcze jedno pytanie , co zrobić jak na wtryskiwaczu mam zdarty jeden numerek numeru kodowego wtryskiwacza czy jest jakiś sposób aby go prawidłowo zakodować. Na silniku nie mam naklejki z numerami wtryskiwaczy

    Samochody Mechanika   20 Maj 2009 19:32 Odpowiedzi: 1    Wyświetleń: 4471
  • Układ sterowania- PLC + przełącznik kodujący?

    Witam. Stoję przed następującym zagadnieniem. Chciałbym uruchomić układ sterowania maszyną z wykorzystaniem PLC. Sterownik miałby za zadanie zliczenie zadanej liczby impulsów i wykonanie pewnego działania - zatrzymanie silnika. Do tego momentu sprawa jest bardzo prosta. Chciałbym jednak aby żądaną ilość zliczonych impulsów wprowadzać za pomocą najlepiej...

    Automatyka Przemysłowa   02 Mar 2010 22:17 Odpowiedzi: 6    Wyświetleń: 2192
  • Kodowanie Klimy Audi A4 B6 1.9 TDI 2002

    Przeprowadziłem adaptacje zgodnie z tą instrukcją "Podłączasz VAG Uruchamiasz silnik. Włączasz klimę na tryb AUTO: Wchodzisz w adres Klimatycacji [08] ewentualnie inny adres dotyczący klimatyzacji. Następnie [07] Kodowanie >>> Wpisujesz wartość i potwierdzasz. Teraz nie zamykając programu (nie rozłączając się z samochodem) odczytujesz błędy klimy i...

    Samochody Elektryka i elektronika   13 Lip 2010 21:58 Odpowiedzi: 7    Wyświetleń: 18587
  • Peugeot 406 immobilizer + zdalne odpalanie silnika

    Chciałbym zamontować jeden z alarmów oferowanych na allegro, wraz z zdalnym odpalaniem silnika. Samochód to Peugeot 406 2.0HDI 1999 rok. Na pierwszy rzut oka autko posiada immobilizer z transcaiverem w kluczyku, nie ma klawiaturki kodowej. Zastanawiam się czy umieszczenie transcaivera na stałe w okolicach stacyjki pozwoli na obejście immobilaizera do...

    Samochody Zabezpieczenia   07 Lis 2010 06:18 Odpowiedzi: 6    Wyświetleń: 9174
  • Golf IV zmiana licznika. Nie posiadam pinu ecu silnika. Jak go odczytać?

    Witam , mam problem tego typu , chce zmienic licznik , do nowego licznika posiadam pin , a nie posiadam pinu ecu silnika jak go odczytac Nie rozumie po co Ci PIN ecu, wymieniasz licznik ,kodujesz do niego klucze i powinno działać.

    Samochody Początkujący   10 Lut 2012 20:00 Odpowiedzi: 7    Wyświetleń: 4165
  • Sterowanie regulatorem silników BLDC

    Dzięki wielkie za przybliżenie tematu. Jeśli dobrze zrozumiałem to wychodzi na to że jak podłączam nowy regulator do aparatury odbiorczej to muszę jemu najpierw zaprogramować progi min i max za pomocą przepustnicy tak aby prawidłowo współpracował z moim modelem, czy może aparatura wysyła do regulatora jakiś standardowy impuls o określonej długości i...

    Robotyka Modelarstwo i CNC   28 Cze 2011 15:34 Odpowiedzi: 6    Wyświetleń: 4618
  • Golf V, 2008, 1,9 tdi kontrolka poziomu oleju w silniku.

    Krótko: Padają te od poziomu i padają te w zamku, jak co sprawdzić to tłumaczyć nie muszę. Jak komuś nie zależy i szkoda mu kasy to niech zmieni kodowanie licznika i po robocie.

    Samochody Mechanika   06 Lut 2012 17:40 Odpowiedzi: 9    Wyświetleń: 30032
  • Daf xf 105 - kodowanie wtryskiwaczy.

    Niestety jest konieczne, inaczej silnik może nierówno pracować, może nieustannie generować kody błędów i inne symptomy. Z tym "kodowaniem" po prostu chodzi o to, żeby kod z nowego wtryskiwacza wprowadzić za pomocą software'u diagnostycznego do sterownika silnika.To nie wtryskiwacz jest "programowany", tylko sterownik silnika jest programowany.

    Samochody Ciężarowe   28 Cze 2013 10:43 Odpowiedzi: 1    Wyświetleń: 4869
  • Focus Mk1 TDCi - Słaba moc silnika usterki nie widać mechanicy bezsilni

    jezeli pompa i wtryski byly na maszynie i stwierdzono typowy blad luszczenia sie pompy to powinnien byc przed zamontowaniem wyczyszczony caly uklad dodatkowo paliwo powinno isc przez filtr przed wlaniem do baku. moze byc tak ze znowu uklad wtryskowy jest zabrudzony. dodatkowo powinno sie zresetowac ecu po zrobieniu pompy i wtryskow w celu przyuczenia...

    Samochody Mechanika   26 Paź 2014 16:51 Odpowiedzi: 80    Wyświetleń: 22005
  • Audi - Wymiana licznika i kodowanie go

    Witam. Mama Audi a3 2001 rok licznik jeagger pół fis - zepsuty. Wymieniłem go na licznik VDO D12 1998r. - pełny fis. Wszystko działa idealnie. Komputer działa. Immo tez. Problem polega na dopasowaniu do niego odpowiedniego kabelka aby zmienic stan przejechanych kilometrów na taki jaki jest na silniku. Ktos moze wie jaki kabelek użyc? Albo ma taki lub...

    Samochody Elektryka i elektronika   06 Lis 2014 08:28 Odpowiedzi: 5    Wyświetleń: 2349
  • Audi A6 C5 2.5 AKN - Logowanie i kodowanie ECU 4B1 907 401 A, czy możliwe?

    A nie masz innego programu? Kodowanie sterownika silnika nie będzie wymagało podania kodu dostępu - to tylko przy adaptacji. Podepnij się VASem lub VCDSem - tym na pewno przekodujesz sterownik z automatu na manual.

    Samochody Elektryka i elektronika   06 Lut 2016 19:28 Odpowiedzi: 4    Wyświetleń: 5652