REKLAMA

kodowanie sterownika

Znaleziono około 2712 wyników dla: kodowanie sterownika
  • Passat b5fl Sterownik ABS - Kodowanie nowego sterownika ABS

    Wrzucilen jeszcze raz to kodowanie co i wyliczyles A blad jeszcze byl taki

    Samochody Początkujący   30 Lis 2016 07:24 Odpowiedzi: 24    Wyświetleń: 27828
  • Touareg 5.0 TDI: Sterowniki, pompo-wtryski i kodowanie styków sterownika

    Pompa paliwa elektryczna oraz Vacuum - ok, paliwo bije i wraca. Tylko akcją jest to, że nie idzie prąd na wtryskiwacze, bo po wypięciu kostki w głowicy, czyli na pompie wtryskiwaczy, silnik pracuje tak samo na 5 cylindrów. Po wypięciu całkowicie sterownika nr 2, to samo pracuje tylko prawa strona, więc prawdopodobnie 99% to ECU nr 2. Dzięki za dodatkowe...

    Samochody Elektryka i elektronika   22 Sie 2023 10:52 Odpowiedzi: 6    Wyświetleń: 306
  • Kodowanie długie sterownika ABS Golf V 2008r

    Witam, mam Golfa V 2008 rok, nie mogę poradzić sobie z kodowaniem długim sterownika ABS, są tylko same zera. Czy jest jakiś pomocnik kodowania do tego? Proszę o pomoc, dziękuję. W załączniku jest skan tego auta.

    Samochody Elektryka i elektronika   21 Lut 2011 11:09 Odpowiedzi: 0    Wyświetleń: 4394
  • REKLAMA
  • Passat B6 - Nie przyjmuje kodowania w sterowniku silnika

    Witam. Posiadam Passata B6 4motion. Mam problemy z haldexem i chce sie pozbyć napędu na tył. Sterownik 03G906018CR, kodowanie 0000172 i muszę zmienić 1 na 0 ale niestety sterownik nie przyjmuje żadnego kodowania. Posiadam odczyt mapy ze sterownika. Czy jest możliwość przerobienia wsadu na kodowanie 0000072 lub taką modyfikację żeby nie wywalało błędu...

    Samochody Początkujący   17 Maj 2017 22:05 Odpowiedzi: 0    Wyświetleń: 1149
  • Touran 2018 centralny zamek, brak możliwości kodowania w sterowniku centralnego zespołu elektr.

    Dzięki za zainteresowanie,masz rację nie jest to w module komfortu tylko prawdopodobnie w 09-centralnego zesp. elektr.Chciałem przejrzeć kodowanie tego sterownika,mam jeszcze problem ze światłami LED.A może wiesz jak zakodować piloty bez vcds. Procedury do starszych wersji nie działają

    Samochody Początkujący   21 Lis 2022 11:12 Odpowiedzi: 7    Wyświetleń: 336
  • Jak rozwiązać problem uszkodzonego sterownika ABS? Volkswagen Passat B5.

    Powiedz, kto postawił taką diagnozę, i co dokładnie się dzieje? Żeby po wymianie sterownika nie było problemów (dziwnych zachowań sterownika) z niewiadomej przyczyny, warto jest porównać czy "nowy" sterownik pracował w samochodzie z takim samym wyposażeniem które posiada Twój samochód. Czyli przed wymianą odczytujesz kodowanie starego sterownika za...

    Samochody Początkujący   20 Lis 2015 21:35 Odpowiedzi: 2    Wyświetleń: 1449
  • przekodowanie sterownika airbag 1c0909605k VW Polo

    witam Mam taki mały problemik ze sterownikiem airbag w polo 2005r po lifcie. Po zmianie sterownika na nowy wyskakuje mi błąd 1224 czyli niewłaściwy sterownik. Błąd sprawdzałem w VAG4.9 Był dzwon i w samochodzie zmienione zostały pasy,sterownik oraz poduchy. W załączniku jest zdjęcie starego sterownika i teraz mam pytanie. Czy z tych danych mozna odczytać...

    Początkujący Naprawy   14 Mar 2015 10:15 Odpowiedzi: 0    Wyświetleń: 2481
  • REKLAMA
  • Vw passat b7 - kodowanie multifunkcji

    Witam serdecznie Chciałbym dołożyć do Passata sterowanie radia z kierownicy. Czy jakaś dobra dusza mogłaby podrzucić kodowanie sterownika? Aktualne kodowanie: 5180050001 Sterownik: 5k0953569AQ Pozdrawiam!

    Samochody Elektryka i elektronika   27 Lut 2018 22:09 Odpowiedzi: 0    Wyświetleń: 711
  • Octavia 2 1.6 TDI CAY - Bład sumy kontrolnej, sterownik nie flashowany, gasnie

    Witam, Octavia 2 1.6 TDI CAYC sterownik w stanie dziewiczym, przy identyfikacji pokazuje "locked" po otwarciu sterownika brak wilgoci, Sterownik zgłasza bład: Oroda,11,Lipiec,2018,10:30:23:48589 Wersja VCDS: AKP 18.2.1 uruchomiony na Windows 7 x64 www.vcds.pl Adres 01: Silnik Plik etykiet: 03L-906-023-CAY.clb Oznaczenie elementu: 03L 906 023 AG HW:...

    Samochody Elektryka i elektronika   19 Lis 2020 18:32 Odpowiedzi: 2    Wyświetleń: 1521
  • REKLAMA
  • Sterownik centralnego zamka

    Samochód AUDI A4 97r. oznaczenie 8L0862257D. Jakie powinno być kodowanie tego sterownika bo mam coś nie tak bo zamiast otwierać drzwi to mi je zamyka i na odwrót (z pilota i z zamka w drzwiach tak samo) ale oświetlemiem wewnątrz i kierunkowskazami steruje prawidłowo, dodatkowo nie działa domykanie szyb. Może ma ktoś wykaz kodowania do zamka centralnego.

    Samochody Elektryka i elektronika   15 Kwi 2005 17:42 Odpowiedzi: 0    Wyświetleń: 1880
  • [Opel Astra II] Wymiana sterownika silnika

    Poszukaj kartki wielkości karty kredytowej,nedziesz tam miał numer mechaniczny klucza,numer VIN,kod do radia i security cod do immo. A jak ci sie chce,to odczytaj z modułu immo,procesor HC05 Własnie zdobyłem te kody ale otrzymałem informację,że bez kodowania nowego sterownika z immo tez będe mógł odpalic silnik.Czy zatem kodowanie jest konieczne?Oczywiście...

    Samochody Elektryka i elektronika   29 Kwi 2011 06:00 Odpowiedzi: 16    Wyświetleń: 43571
  • Przekładka immo między sterownikami opla

    Kluczyki sa kodowane w puszcze immo a puszka immo jest kodowana w sterowniku i zapamiętana w EEPROMie Jeżeli sterowniki są identyczne i maja ten sam firmware, to przełożenie EEPROMu chyba powinno załatwić sprawę ;)

    Samochody Elektryka i elektronika   10 Sty 2008 10:24 Odpowiedzi: 1    Wyświetleń: 2264
  • kodowanie ecu silnika audi

    witam , mam audi a4 2002 rok bez can-a , przekładana była skrzynia z multitronica na manuala potrzebuje rozpiske kodowania silnika żeby nie widział automata, orginalne kodowanie 02017, sterownik 8e0907401, silnik 2,5 tdi AYM, na wiki rosstechu nic nie ma na ten temat i niemca też nic , proszę grzecznie o pomoc

    Samochody Elektryka i elektronika   29 Sty 2010 11:22 Odpowiedzi: 2    Wyświetleń: 3106
  • Wymiana modułu komfortu 6Q2937049C w VW Polo 2002 - Jakie numery i kodowanie?

    Tam chyba jednak będzie krótkie kodowanie co nie zmienia faktu że trzeba je odczytać ze starego modułu. Trzeba również odczytać przed wymianą kodowanie ze sterownika CAN-Gateway. On siedzi w module centralnej elektryki. Więc i tutaj sprawdzić , ewentualnie również przekodować po wymianie. Pozostaje kwestia adaptacji.

    Samochody Początkujący   08 Wrz 2019 08:09 Odpowiedzi: 5    Wyświetleń: 1941
  • Sterownik pompy ABS Peugeot

    Witam mam taki problem zepsuł mi sie sterownik pompy ABS zauwazylem ze bylo juz przy nim coś kombinowane chyba próba nieudanej naprawy dlatego spisałem ją już na straty. aktualnie posiadałem pompę Bosch o nr 0 273 004 562 chciałbym kupić używkę lecz nie mogę nigdzie znaleźć o takich samych numerach jak moja. 1. jakim używanym sterownikiem muszę zastąpić...

    Samochody Elektryka i elektronika   22 Mar 2022 20:52 Odpowiedzi: 3    Wyświetleń: 6508
  • [Zlecę] Naprawa lub kodowanie EDC16C34

    Witam. Szukam kogoś do naprawy bądź kodowania innego sterownika do Citroena C4 EDC16C34. Mój nie wysterowuje dawki pilotażowej wtryskiwaczy. Po podmianie na użyczony problem znika.

    Samochody Bazar   14 Maj 2017 09:20 Odpowiedzi: 0    Wyświetleń: 1905
  • BMW X6 E71 - Brak świateł drogowych po kodowaniu na LED, uszkodzenie LHM?

    Lampa lewa łączy się z frm po CAN a prawa z lewą po szynie LIN w przypadku xenon AHL. Z tego co pamiętam LED łączy się po CAN z obiema lampami i tylko przyporządkowane w kodowaniu przekierowuje sterowniki do wykonania danych funkcji czy komunikacji z nimi. Ista powinna rozwiązać problem. Sam robię teraz e60 i mam zagwozdkę z FLA. Na liczniku pokazuje...

    Samochody Elektryka i elektronika   27 Kwi 2020 03:38 Odpowiedzi: 3    Wyświetleń: 900
  • Sterownik 1C0 909 605A - jaki sensor boczny

    Witam szanownych forumowiczów, czy orientuje się ktoś jakie powinny być numery sensorów bocznych do sterownika opisanego poniżej: 1C0 909 605A VW51 Index 04 kodowanie 12855.

    Samochody Elektryka i elektronika   11 Sty 2011 23:59 Odpowiedzi: 0    Wyświetleń: 635
  • Wymiana sterownika w golfie 5 1,9tdi 2003r

    Uszkodzony sterownik silnika EDC 16U1 0281001478. wymieniłem na używany. Jednak powstał problem z immo. Nie znam procedur kodowania dopasowania sterownika dla tego modelu. proszę o wskazanie kanałów lub podpowiedzi jak to uczynić. za pomoc dziękuję może kiedyś ja będe pomocny.

    Samochody Elektryka i elektronika   18 Maj 2012 20:31 Odpowiedzi: 6    Wyświetleń: 1760
  • Poszukuję schematu sterownika Bosch 0018209726

    Witam.Jak w temacie szukam schematu gdyż po wymianie sterownika na nowy kontrolka nie gaśnie.Podobno w nowy sterownik trzeba wpisać mój nr vin żeby komputer go widział.Sterownik kupiłem używany ale przed jego montażem dałem usunąć crash dat więc powinien śmigać.Schemat potrzebuję do sprawdzenia zasilania.Jeszcze jedno co sądzicie o kodowaniu tych sterowników...

    Samochody Elektryka i elektronika   26 Gru 2012 23:40 Odpowiedzi: 0    Wyświetleń: 834
  • passat b5 v6 2.8 - sterownik silnika zmniejszanie obrotow przy zmianie biegow

    A kodowania jakich sterowników pozmieniałeś ?? czy robiłeś adaptacje przepustnicy ??

    Samochody Elektryka i elektronika   14 Lip 2017 14:58 Odpowiedzi: 2    Wyświetleń: 774
  • Wsad do eprom atmel 25080 sterownika ABS Volvo XC70 2004

    Witam mam problem z samochodem jak w temacie. Kupiłem samochód z wadliw sterownikiem abs, więc kupiłem inny z tymi samymi numer celem przegrania eprom atmel 25080. Przegrywając eprom okazało się że wsad jest pusty i nie mogę go wgrać do nowego sterownika. W samochodzie obecnie nie chodzi napęd i wyświetla błąd 0076. Chciałem przegrać eprom ponieważ...

    Samochody Elektryka i elektronika   05 Sie 2020 11:58 Odpowiedzi: 4    Wyświetleń: 2382
  • Jak naprawić sterownik ABS w Volkswagenie Passat B5?

    Lepiej zapisz kodowanie tego sterownika aby było wiadomo jakie wgrać do innego ABS'u. Przy tych cenach części używanych do b5 nawet nie warto go otwierać.

    Samochody Elektryka i elektronika   13 Sty 2024 09:43 Odpowiedzi: 9    Wyświetleń: 570
  • Golf V 2006 1,9TDI Diagnoza naprawa sterownika PTC 7D dogrzewanie wnetrza

    Pozostała mi stara nagrzewnica mocno elektronika przyniszczona. Jedno pytanie czy ta płytkę można odłączyć od nagrzewnicy czy ma gdzieś ukryte poza tymi widocznymi wkrętami jeszcze jakieś mocujące płytkę? Mam zbudowany układ na stole z VCDS i sterownikiem Gateway -głownie służy mi do kodowania tego sterownika. Zamierzam go wykorzystać przy naprawie...

    Samochody Początkujący   18 Lis 2022 17:16 Odpowiedzi: 12    Wyświetleń: 1494
  • bezprzewodowy sterownik 16-kanałowy

    Dzięki, właśnie o coś takiego mi chodziło, chyba zmieszczę się w tych 15 kanałach. Mam tylko jeden dylemat. Czy gdy będą dwa takie urządzenia obok siebie to nie będą się zakłócać? potrzebuje transmisję w obie strony, więc w jednej obudowie miał bym i nadajnik i odbiornik, dwa pomieszczenia to samo. Potrzebuje, żeby nadajnik działał tylko na odbiornik...

    DSP i Transmisja   12 Sty 2010 21:44 Odpowiedzi: 4    Wyświetleń: 2138
  • Wymiana sterownika abs Audi a6 c6.

    Witam auto jak temacie z roku 2005 z silnikiem 4.2 BAT. Spaleniu uległa pompa ABS znalazłem już drugą o takich samych symbolach 4F0 910 517 L ,jest w 100% sprawna lecz ma zakodowaną regulacje zawieszenia i moduł przyczepy.Proszę o pomoc przy zmianie kodowania.

    Samochody Elektryka i elektronika   12 Paź 2011 14:32 Odpowiedzi: 0    Wyświetleń: 3843
  • Passat B5 - SWAP climatronica by Hella na climatronic z CAN plus kodowanie.

    Witam Ponieważ zmieniłem ECU z MSA15 na EDC15 i licznik bez FIS na licznik z full FIS przyszła kolej na sterownik klimatyzacji. Oryginalnie był sterownik Climatronic by Hella 3B1907044A a założyłem 3B1907044K. I od razu problemy mimo iż wszystkie wtyczki pasują. Zero reakcji na kluczy problem był w pinie 7 wtyczki D nie było w ogóle przewodu problem...

    Samochody Elektryka i elektronika   15 Cze 2020 19:18 Odpowiedzi: 0    Wyświetleń: 1020
  • SEAT IBIZA CUPRA 2005 i ESP 1.34 problem z kodowaniem.

    witam, dzięki za podpowiedzi. metodą prób i błędów doszedłem do połowicznego rozwiązania problemu kodowania. Postawiłem drugą Ibizę obok i zaczęło się przekładanie, na początek poszedł agregat ABS i dalej to samo. W tej drugiej się koduje wkładam do swojej i jest 0000000 potem czujnik przeciążeń, licznik sterownik silnika i centrala elektryczna i tez...

    Samochody Elektryka i elektronika   28 Maj 2009 21:21 Odpowiedzi: 9    Wyświetleń: 4013
  • Jak napisać funkcję na PLC w ST lub CFC? Problem z kodowaniem.

    Witam, Bez informacji jaki to sterownik ST będzie ok moim zdaniem. Pozdrawiam,

    Automatyka Sterowanie PLC   18 Gru 2017 19:34 Odpowiedzi: 4    Wyświetleń: 681
  • Passat B6 2007 - auto hold - problem z kodowaniem

    Witam wszystkich. Niesiony fantazją postanowiłem dołożyć sobie funkcję Auto Hold do mojego auta ( fabrycznie nie było). Kupiłem wszystkie potrzebne elementy w ASO, wykonałem połączenia jak w opisie i do tej pory wszystko git. Po zmianie kodowania w EPB z 11 na 12 pojawia się kontrolka od hamulca parkingowego. Pierwsza myśl, sterownik (3C0 907 801 E)...

    Samochody Elektryka i elektronika   22 Sty 2018 11:13 Odpowiedzi: 3    Wyświetleń: 15737
  • Omega B 2.5V6 '95 pytanie o parametry bieżące/kodowanie.

    Witam, po małej przerwie.... Sprawdziłem numery sterownika z naklejki i w samym oprogramowaniu. Wszystkie pasują, sterownik powinien zatem być oryginalny. Ponieważ właścicielowi bardzo się spieszyło z naprawą a nie posiadałem chwilowo wolnych mocy przerobowych, zrezygnowałem z dalszych poszukiwań i przekazałem auto "dalej":) Postaram się zamieścić informację...

    Samochody Elektryka i elektronika   12 Lut 2011 19:01 Odpowiedzi: 7    Wyświetleń: 1449
  • Audi Q7 - nie przyjmuje kodowania dla licznika/ VCDS error 22

    Witam Od kilku tygodni odbudowuje Q7 (zapalił się akumulator- jest pod siedzeniem kierowcy) Dużo razy odłączałem nowy akumulator w ostatnim tygodniu podświetlenie zegarów zaczęło świrować (pewnego rodzaju stroboskop nawet gdy nie ma kluczyka w stacyjce) Po poskładaniu wszystkiego zacząłem jeździć autem po około 100km podczas jazdy wszystkie kontrolki...

    Samochody Elektryka i elektronika   17 Lip 2014 09:33 Odpowiedzi: 1    Wyświetleń: 4953
  • Kodowanie ABS Seat Ateca 2020 po zmianie z RHD na LHD - błąd U1014

    Sobota,24,Luty,2024,20:36:29:16365 VCDS -- Emulator VAG/VAS pracujacy w srodowisku Windows, uruchomiony na Windows 7 x64 Wersja VCDS: AKP 23.3.1.0 HEX-V2 CB: 0.4631.4 Wersja danych: 20230601 DS346.2 www.vcds.pl VIN: VSSZZZ5FZM6509574 Numer rejestracyjny: Typ podwozia: 5F-SE37 (3Q0) Skanowanie: 01 03 05 08 09 10 13 15 17 19 2B 42 44 4B 52 5F 75 BB BC...

    Samochody Początkujący   10 Mar 2024 20:28 Odpowiedzi: 2    Wyświetleń: 168
  • Audi A4 B8 - Jak przekodować sterownik Parktronic bez VAG?

    Witam, mam problem z przekodowaniem sterownika Parktronic. Chcialem zrobic kodowanie w aucie kolegi ale ja niestety nie posiadam testera do VAG, a on ma tylko CDP (Delphi). Udalo mi sie przekodowac sterownik silnika i ESP ale wyglada na to, ze Parktronic ma dlugie kodowanie. Mam mozliwosc odczytu i zapisu ale nie mam nic do policzenia. Jesli znalazlby...

    Samochody Elektryka i elektronika   29 Lip 2020 07:15 Odpowiedzi: 0    Wyświetleń: 822
  • Golf IV 98r - Błędne kodowanie...

    Robiłem to z tej strony i kodowanie wygląda na prawidłowe 03604 i takie jest.... Jutro poszukam za takim sterownikiem i zrobię podmianę...

    Samochody Elektryka i elektronika   23 Lis 2012 18:34 Odpowiedzi: 9    Wyświetleń: 4278
  • Audi A4 b7 2.0TDI - Brak bluetooth po zmianie kodowania

    Witam, Mam radyjko Chorus II i do tej pory miałem oryginalnego Bluetootha... Jako że wyświetlały mi się komunikaty w języku niemieckim, zachciało mi się przekodować sterownik żeby wyświetlał komunikaty po angielsku. Tak więc za pomocą VCDS'a, kumpel zmienił mi kodowanie. Połączył się z modułem telefon i tam zgodnie z podpowiedzią zmienił końcówkę kodowania...

    Samochody Elektryka i elektronika   09 Gru 2012 11:16 Odpowiedzi: 0    Wyświetleń: 4131
  • Sterownik zamka do fabrycznego pilota - dekodowanie

    Cześć, Chyba dobry dział wybrałem... Problem jest taki: mam sobie samochód z fabrycznym centralnym zamkiem. Ale brakowało mi pilota. Dodałem centralkę uniwersalną, ale... nie lubię mieć niefabrycznych pilotów, bo zawsze są z nimi problemy (w tym np. przyciski same się wciskają w kieszeni). Wyczytałem w internetach, że w moim wehikule czasem centralny...

    Początkujący Elektronicy   14 Lis 2017 13:40 Odpowiedzi: 4    Wyświetleń: 834
  • VW Touran 2005 - Nieudane kodowanie pilota po wymianie baterii, VCDS

    Witam serdecznie... Opiszę mój problem, może ktoś się z takim czymś spotkał. Mam dwa piloty i dwa działały. Nagle jeden przestał działać, myślałem że bateria, wymieniłem na mową i dalej nic. No to VCDS i kodowanie. Wywaliłem wszystkie piloty i po sprawdzeniu w blokach pomiarowych w grupie 11 po naciśnięciu na pilocie np. otwieranie w pierwszym oknie...

    Samochody Elektryka i elektronika   16 Wrz 2019 21:09 Odpowiedzi: 6    Wyświetleń: 3999
  • Audi A4 B8 2.0TFSI 2010 - Dobór sterownika ABS

    W a4 b8 2.0 tfsi 2010. silnik cdnc, skrzynia mng, 7 biegów s-tronic, napęd quattro. uszkodzony został mechanicznie sterownik ABS. Niestety nie mam numeru sterownika bo naklejka zdarta i nie mogę się z nim połaczyć aby sprawdzić kodowanie. Czy jest ktoś kto może mi powiedzieć jaki sterownik kupić. Ma co zwrócić uwagę. A może to wszystko jedno jaki to...

    Samochody Początkujący   17 Lis 2019 14:56 Odpowiedzi: 3    Wyświetleń: 501
  • VW Passat B5 3B - Airbag sensor 8L0 959 655 A kodowanie

    Nie wyłączysz tego VAGiem i niczym innym. Nie wyłączysz tego kodowaniem bo sterownik nie przyjmie Ci nowego kodowania. Można to obejść poprzez edycję wsadu sterownika ale sam tego nie zrobisz. Najlepszym rozwiązaniem będzie chyba wymiana sterownika poduszek wraz z czujnikami na inny. Czujniki musisz mieć od kompletu do sensora lub o identycznych oznaczeniach...

    Samochody Elektryka i elektronika   29 Paź 2013 21:40 Odpowiedzi: 4    Wyświetleń: 7239
  • A3 8P 2004r - Dwa błędy po wymianie poduszek i sterownika

    Opisze krótko problem od początku. Auto bez poduszki pasażera, kierowcy i napinaczy. W zamian za to rezystory. W aucie sterownik 8P0 959 655D kodowanie 0010602. Brak błędów. Chciałem odblokować opcję Adaptacja -10 . Przy próbie wejścia w Kodowanie-07 i potwierdzeniu kodowania 0010602 wyskoczył błąd Coding rejected. Error 22 conditions not correct or...

    Samochody Elektryka i elektronika   04 Paź 2017 06:48 Odpowiedzi: 2    Wyświetleń: 1131
  • Seat Leon 1.9 - Błąd kodowania abs mk70 01044 Nieprawidłowo zakodowany komputer

    Witam, czy ktoś spotkał się z podobnym problemem do mojego? Auto pali jeździ bez problemu. Brak błędów w sterownikach. Podczas jazdy lub podczas odpalania auta czasami wyskakuje błąd "01044 Nieprawidłowo zakodowany komputer sterujący" dzieje się to bardzo rzadko. Podczas wystąpienia tej usterki zapalają się kontrolki abs esp i ręczny. W aucie sterownik...

    Samochody Elektryka i elektronika   04 Sie 2019 14:22 Odpowiedzi: 3    Wyświetleń: 1464
  • Passat B5 sterownik ABS

    Tak, nie baw się w naprawę, wymień go. Odpisz wcześniej kodowanie.

    Samochody Elektryka i elektronika   01 Lut 2008 08:54 Odpowiedzi: 3    Wyświetleń: 3207
  • Sterownik ABS skoda fabia

    dalej abs nie działa. po sprawdzeniu instalacji wiem tyle że czujniki w kołach są ok, z każdego pokazuje dobre prędkości. zalecono mi wymianę czujnika pedału hamulca (kupiłem oryginał w vw, bo kupiony w zwykłej hurtowni motoryzacyjnej wogóle nie działał), po wymianie skasowałem błąd (65535), ale nic to nie dało. Kolejnym podejrzanym jest kodowanie....

    Samochody Elektryka i elektronika   25 Lis 2011 18:59 Odpowiedzi: 16    Wyświetleń: 18248
  • vw transporter t4 '02 - Przekodowanie sterownika Airbag

    Witam! Mój problem polega na tym, że nie mogę przekodować sterownika. Sensor był wymieniany ponieważ auto jest po wypadku i zapisał się crash. Nr starego stera: 1J0-909-603BL, Kodowanie: 16972 Nr Nowego: 1J0-909-603BK Kodowanie: 16971. Proszę o pomoc w zmianie kodowania, ponieważ nowy sterownik nie zapisuje kodowania starego. Pozdrawiam!

    Samochody Elektryka i elektronika   20 Paź 2012 15:13 Odpowiedzi: 3    Wyświetleń: 3084
  • interfejs diagnostyczny/skaner dzięki którem odczytam przebieg z sterowników itd

    Witam, Panowie szukam w miarę taniego interfejsu do (1000zł) który będzie w stanie odczytać ewentualnie cofnięty licznik. Nie interesują mnie korekty, zaawansowane programowanie/kodowanie. Jest taki "młyn" z tymi urządzeniami że głowa mała... Może ktoś jest w stanie mi pomoc ?

    Samochody Początkujący   05 Lut 2019 18:20 Odpowiedzi: 0    Wyświetleń: 489
  • Kodowanie pompy ABS w Audi A3 2009 po odłączeniu deski rozdzielczej

    Witam Ireneo Wpisałem twoje kodowanie ale nadal sterownik nie przyjmuje go czy miał byś może jeszcze inne kodowanie.Dziękuje bardzo za pomoc.

    Samochody Elektryka i elektronika   01 Sie 2021 12:27 Odpowiedzi: 2    Wyświetleń: 900
  • Megane 3 1.5dci 110KM - Korekty wtrysków po regeneracji. Czy sterownik wpływa?

    Ona może robić zamieszanie z korekcjami. Przypominam jeszcze, że w Renault wtryskiwacze liczy się od strony sprzęgła, i tak trzeba wpisywać kodowanie.

    Samochody Początkujący   02 Maj 2022 07:05 Odpowiedzi: 6    Wyświetleń: 1686
  • Wymiana radia SWING na RNS 315 - błąd 108640 - sterownik niezakodowany U1013 00 [009]

    W czym problem? Trzeba poprawnie zakodować samą jednostkę radiową - długie kodowanie.

    Samochody Elektryka i elektronika   27 Sty 2023 11:43 Odpowiedzi: 3    Wyświetleń: 420
  • 1c0 909 605 F jakie kodowania

    Dzięki za info. Też mi wyszły takie kodowania instrukcji serwisowej dla GOLF IV. Tylko ja mam używany sensor i kodowania nie wchodzą, bo te sterowniki mają zblokowane kodowanie, chyba że są nowe. Jest jakaś szansa na przekodowanie tego sterownika. Można jakoś zrobić kodowanie po zalogowaniu.

    Samochody Elektryka i elektronika   29 Maj 2010 06:20 Odpowiedzi: 6    Wyświetleń: 3572
  • Kodowanie Klimy Audi A4 B6 1.9 TDI 2002

    nr bledu 01794 Control Module Incorrect Chassis (VIN) Number Zły numer VIN w sterowniku

    Samochody Elektryka i elektronika   13 Lip 2010 21:58 Odpowiedzi: 7    Wyświetleń: 18587
  • Peugeot 508 2019 - Błąd przy telekodowaniu ABS/ESP: TC001E, ręczne kodowanie

    Witam Po teletransmisji i update sterownika ESP/ABS w 508 z 2019 r , wyrzuciło błąd przy telekodowaniu.. Telekodowanie niemożliwe - wyrzuca błąd TC001E Mogę ręcznie telekodować ale nie znam wszystkich parametrów i wyrzuca niepoprawne telekodowanie... Załączam screeny z błędu kodowania, ręcznego kodowania i DTC ze sterownika. Ktoś ma jakiś pomysł ? Rozwiązanie...

    Samochody Elektryka i elektronika   27 Cze 2022 10:24 Odpowiedzi: 4    Wyświetleń: 993
  • Wymiana sterownika vw seat Leon

    Podłącz coś do diagnostyki,spisz kodowanie sterownika.odłącz akumulator potem zmień sterownik.Podłącz akumulator i podłącz się diagnostyką.Jeżeli w nowym jest inne kodowanie to przekoduj sterownik.odczytaj błędy sterownika silnika i licznika.powinny być: -o braku dopasowania sterownika silnika i immo -start silnika blokowany przez immo Aby zgrać immo...

    Samochody Elektryka i elektronika   19 Cze 2008 22:20 Odpowiedzi: 12    Wyświetleń: 15932
  • Mercedes Vito 2000r cdi kodowanie Ecu

    Uszkodzony został ECU, wartości ze starego zostały skopiowane na programatorze i wpisane do nowego (co powinno rozwiązać problem). Po zamontowaniu samochód odpalił ale pokazuje błąd nie dopasowania sterownika. Czy jest jakaś tabela kodowania wyposażenia samochodu, aby dopasować nowy sterownik do wyposażenia auta?

    Samochody Elektryka i elektronika   13 Kwi 2010 22:46 Odpowiedzi: 0    Wyświetleń: 1629
  • VW Golf V - Kodowanie AIRBAG dla VW Golf V

    Witam Wymieniono sterownik poduszek w aucie VW Golf V. Numer identyczny jak poprzednio. 1K0 909 605 N VW8 Skan VCDS: Kodowanie: 0020788 2 błędy: -01588 (N251) 002 Lower Limit Exeeded -01589 (N252) 002 Lower Limit Exeeded Nie mogę zakodować sterownika. Skąd dostać PIN? Dwa: Wchodząc w Adres 15 (Airbags)> Adaptacje > Kanały: -możemy włączyć i wyłączyć...

    Samochody Elektryka i elektronika   20 Gru 2014 10:04 Odpowiedzi: 3    Wyświetleń: 2220
  • Kodowanie pilota Golf IV 2000 -

    Witam. Wiem, że temat był wałkowany wiele razy. Używałem też "wujka google" jakby co...I nie mogę...Tzn w Vag-Com r.409 jak wykonuję procedurę " 46 - Moduł komfortu] lub Czy tu mam wpisać ilość pilotów łączną, którą chcę mieć? Bo jak wpisuję 2 to nie mogę zapisać "wyszarzone pole". Mogę dodać tylko jeden. No właśnie i co to oznacza? że są wpisane 3...

    Samochody Elektryka i elektronika   28 Paź 2013 09:21 Odpowiedzi: 0    Wyświetleń: 8940
  • audi a4 b6 - kodowanie Intsrfejs vag 505 mini

    Chodzi o numer modułu komfortu 046. O to chodzi? Dodano po 50 Przykro mi ale dzis nie mam jak sprawdzić tego numeru sterownika.A jeśli chodzi o oświetlenie postojowe to wychodzi na to ze wszedłem w kanał 61 i zakodowałem 2, czyli stan scandynawski. A kodowanie kierunków przy zamknięciu i otwarciu drzwi to kanał 62 i kod 512.Sumujemy lub odejmujemy to...

    Samochody Początkujący   22 Lut 2015 09:33 Odpowiedzi: 18    Wyświetleń: 15774
  • Skoda Fabia I - Nie działają szyby - kodowanie komfortu 00019

    Witam, Skoda Fabia I nie działają szyby, wyposażenie to zamek centralny i szyby elektryczne przód, w komforcie w parametrach przełącznika wartość niezakodowana, w kodowaniu kod 00019, próbowałem wpisać zgodnie z wyposażeniem 00064 i inne kody i brak odpowiedzi ze sterownika. Co może to powodować ? Dodano po 1 U mnie jest chyba LIN i wychodzi kod 1+2+16...

    Samochody Elektryka i elektronika   05 Maj 2020 07:44 Odpowiedzi: 11    Wyświetleń: 2373
  • Kodowanie tylnych lamp europejskich (neony) i wpięcie kabli w Audi A4 B8 polift 2015 z Kanady

    Witam. Czy ma ktoś kodowania tylnych lamp europejskich neony do A4 B8 poliftowa z 2015 roku. Mianowicie potrzebne mi są kody do VCDS. Drugie pytanie jeszcze dotyczące gdzie trzeba wpiąć dodatkowe kabelki od lamp do sterownika. Znalazłem kilka odpowiedzi ale nic konkretnego. Upiłem auto z Kanady i potrzebuje przerobić na Europę. Lampy kupiłem europejskie....

    Samochody Elektryka i elektronika   14 Mar 2023 18:51 Odpowiedzi: 0    Wyświetleń: 186
  • Wyświetlacz TFT STN z sterownikiem LH1562

    Zamierzam uruchomić wyświetlacz graficzny LCD firmy AMPIRE o symbolu: TM320240AKGWT1. Jest on wyposażony w sterownik firmy SHARP model: LH1562 Poniżej zamieszczam opis wyprowadzeń wyświetlacza oraz notę katalogową sterownika. Zarówno w nocie sterownika jak i wyświetlacza nie ma dokładnych informacji o algorytmie sterowania tym wyświetlaczem. Co gorsza...

    Mikrokontrolery   16 Lip 2007 20:15 Odpowiedzi: 4    Wyświetleń: 2866
  • Octavia II , brak komunikacji ze sterownikiem EDC16U1.

    Z tego co mówi klient samochód był w ASO i tam stwierdzono awarię komputera, a wiadomo że on trochę kosztuje dlatego chce być 100% pewny. Dzisiaj połączym się z silnikiem .Błąd : Brak oprogramowania sterownika. W Bornetzie ( 09 ) m.in. takie błędy: -02071 lokalna magistrala danych brak sygnału /komunikacji. -02403 zacisk 15 wnętrze -usterka w obwodzie...

    Samochody Elektryka i elektronika   11 Kwi 2018 14:08 Odpowiedzi: 12    Wyświetleń: 10214
  • ford mondeo 2.0 tdci kodowanie wtryskiwaczy

    Jak nadal bedziesz mial problem to zrob tak: Odpal, rozgrzej Forda, potem trasa i przez ok 10-15min na 3 biegu ok 50-60km/h (staraj sie trzymac stala predkosc). MOga sie wtedy same dokodowac do sterownika. (Zakladajac ze wszsytkie wtyski sa OK!)

    Samochody Mechanika   10 Cze 2011 12:31 Odpowiedzi: 3    Wyświetleń: 24350
  • Seat Ibiza 2005 montaż sterownika centralnego zamka

    Zakończyłem montaż sterownika. Zamykanie jest masą na kabelku żółto-niebieskim, a otwieranie jest również masą na tym samym kabelku ale przez rezystor ~180-220 Ohm. Ja dałem 200 Ohm. Pozostał jeszcze jeden problem. Ibizy mają tak,że po naciśnięciu raz otwarcia na pilocie, otwierają się drzwi kierowcy, po drugim naciśnięciu otwierają się wszystkie drzwi....

    Samochody Zabezpieczenia   18 Sty 2012 11:54 Odpowiedzi: 11    Wyświetleń: 18642
  • Toyota Yaris '03 1.4 d4d - Kodowanie wtrysków

    No to zobacz na wtrysku kody kalibracji. Jest to ciąg znaków na obudowie wtryskiwacza. Jeżeli różnią się od siebie to powinny być wprowadzone do sterownika. W skrajnych przypadkach może to powodować złą regulację dawki Dodano po 2 Wtryski z tego co pamiętam występują trzy typy wg Toyoty. Z końcówką numeru A0, B0, C0 i odpowiednio muszą być wpisane.

    Samochody Mechanika   20 Paź 2014 06:56 Odpowiedzi: 13    Wyświetleń: 6048
  • viessmann atola ahn 24 - mozliwosc podlaczenia sterownika auraton

    Ryzykujesz bo ws/rs jest 3 przewodowy a tu masz złacze dwóch styków, ws/rs nie jest złaczem typowo włacz wyłacz,w duzym uogólnieniu występuje tam drabinka rezystancyjna przez tą drabinke kodowany jest sygnał do sterownika. Ale taka konfiguracja ws/rs omija złacze 23 wiec nie do konca wiem czym jest. ws/rs wymaga 21,22,24 . Kurcze to jest tak stare że...

    Systemy Grzewcze Użytkowy   21 Maj 2016 17:53 Odpowiedzi: 8    Wyświetleń: 1797
  • Clio 3 ph2 dci 88hp k9k770 - Wymiana i kodowanie nowego wtrysku Delphi z kodem c3i

    PyRen nie obsługuje scenariusza do wpisywania kodów wtryskiwaczy dla DCM, ale można skorzystać bezpośrednio z komend, które są odpowiedzialne za wpisywanie kodu do sterownika. Te komendy znajdują się w ukrytej zakładce ECM : Extended command set. Aby odblokować tą zakładkę, w głównym menu modułu wpisujesz polecenie "cmd" i potwierdzasz Enterem. Wtedy...

    Samochody Elektryka i elektronika   09 Cze 2021 14:10 Odpowiedzi: 4    Wyświetleń: 1560
  • Polo 1.2 v12 p1612: Błąd kodu sterownika, uszkodzona obudowa kostki, kod 00031

    Wyskoczył błąd z kodem sterownika, ale sterownik nikt nie kodował, plus do tego kod stoi poprawny, 00031 a nadal piszę że źle jest zakodowany. Niestety karteczki z kodami co naklejana w bagażniku jest zniszczona. Nie mogę sprawdzić po niej.sprawdzam kabel, jest uszkodzona obudowa kostki podliczenia sterownika. Może ktoś spotykał się z takimi rzeczami,...

    Samochody Eksploatacja   30 Gru 2022 13:54 Odpowiedzi: 0    Wyświetleń: 306
  • Konwersja tylnych lamp z USA na EU w Audi Q5 80A z 2020, błąd sterownika przednich kierunkowskazów

    Witam! Potrzebuję kodowania tylnych lamp po konwersji z USA na EU audi q5 80A z 2020 roku przedlift. Druga sprawa to mam problem z przednimi lampami - wymienione są sterowniki lamp i nie działają kierunkowskazy - błąd niezgodności sterownika. Dziękuję za rzeczowe odpowiedzi!

    Samochody Elektryka i elektronika   25 Lut 2024 19:40 Odpowiedzi: 2    Wyświetleń: 276
  • Audi A6 2007r - Przedładka lamp przednich na led kodowanie Centr.zesp.elektr

    Audi a6 2007 rok były założone lampy Bi Xeon skrętny, bez ledów zostały założone lampy od nowszej - bi xeon z ledami dziennymi, bez skrętu. aktualne kodowanie 0113121 próbowałem na 0119121 - nie wchodzi kodowanie orientuje się może ktoś jak to zakodować ? poniżej log w załączniku z auta, jak by był potrzebny. Sama wymiana lamp wystarczy, nie trzeba...

    Samochody Elektryka i elektronika   31 Mar 2019 10:14 Odpowiedzi: 9    Wyświetleń: 17349
  • VW Caddy - 1.9 TDI "BSU" błąd 01314 sterownika silnika

    Witam, a a więc walczę z problemem w moim caddym 1.9 TDI "kod silnika:BSU" , Nie zapala się check , ani świeca żarowa pali się tylko ESP i auto nie odpala i nie można się podłączyć do auta przez gniazdo OBD.Auto jak miało focha to nie paliło a jak pokręciłem kilka razy stacyjką to za którymś razem zapalał się check i świece wtedy auto odpalało. Zakupiłem...

    Samochody Elektryka i elektronika   06 Maj 2018 08:01 Odpowiedzi: 5    Wyświetleń: 7152
  • Dlaczego w Audi A8 D3 4.2 TDI BVN nie ma komunikacji ze sterownikami?

    Na początek witam wszystkich, jak widać jestem nowy na forum i właśnie tu szukam pomocy wśród Fachowców, którzy znaja swoje i nie swoje autka na pamięć. A więc. Jestem Nieszczęśliwym posiadaczem A8 D3 4.2 TDI BVN 240kw 326km 2006r. Jestem też mechanikiem z wieloletnim doświadzczeniem . Wiele razy miałem doczynienia z tymi samochodami, z różnymi problemami,...

    Samochody Elektryka i elektronika   06 Paź 2022 16:40 Odpowiedzi: 7    Wyświetleń: 2490
  • Volkswagen Passat b 6 - Zakodowanie sterownika abs passat b 6

    Witam . Potrzebuje pomocy w zakodowaniu sterownika absu w Passat b 6 . Po wyłączeniu kontroli powietrza w kołach wywaliło mi błąd abs. Pozdrawiam i czekam na pomoc. Niedziela,11,Listopad,2018,12:24:37:0000... VCDS -- Emulator VAG/VAS pracujący w środowisku Windows, uruchomiony na Windows 10 x64 Wersja VCDS: AKP 17.8.1.0 Wersja danych: 20171214 DS276.6...

    Samochody Początkujący   12 Lis 2018 17:48 Odpowiedzi: 3    Wyświetleń: 3237
  • VW Passat TDI 130KM 2001 ABS/ESP nie chce przyjąć kodowania

    Witam Chciałbym podłączyć się z pytaniem dotyczącym AUDI A4 1,9 tdi AJM rok 2000 Jak dokładnie zakodować krok po kroku ABS/ESP, gdyż lampka abs i esp się świeci i występuje błąd kodowania 01044. sterownik ma numer 8D0 907 389 D. Podejrzewam że ktoś mógł zmienić ten sterownik,ale nic pewnego. Pojawia się także błąd czujnika położenia kierownicy 00778....

    Samochody Początkujący   24 Paź 2012 22:22 Odpowiedzi: 13    Wyświetleń: 39724
  • kodowanie ABS w ibiza 1.9Tdi (AFN)

    witam wymienilem spalony sterownik absu, ale nowy wyrzuca blad zlego kodowania. auto: seat ibiza 1.9 TDi (AFN), rok '99 pompa abs: 1J0 614 217C sterownik: 1J0 907 379 H (ABS/EDS) probowalem 03504, 13504 i 03604. Mimo iż sterownik przyjął te kody, to i tak nie pasują bo kontrolka wciąż się swieci a błąd nie chce się skasować. Czy ktoś może mi podać prawidłowe...

    Samochody Elektryka i elektronika   08 Gru 2008 13:05 Odpowiedzi: 0    Wyświetleń: 3604
  • VW BORA ABS problem kodowanie

    Witam posiadam VW Bore 1,6 SR AKL sterownik ATE rok produkcji 1999 Jestem z okolic Krakowa Usterka pojawiła się nagle i niespodziewanie przy prędkości 80km/h wjechałem w Krakowską dziurę asfaltową . Na drugi dzień gdy wjeżdżałem na krawężnik kontrolka abs i wykrzyknik zgasł ale po 20 minutach znowu i tak do tej pory świeci się kontrolka od ABS i miga...

    Samochody Elektryka i elektronika   06 Sty 2009 18:52 Odpowiedzi: 2    Wyświetleń: 4698
  • Audi A6 2.5 tdi AIRBAG problem z kodowaniem 4B0 959 655 G

    Witam uprzejmie i zwracam się o pomoc ponieważ mam problem z zakodowaniem sterownika (sensora) airbag w Audi A6 2001r przed liftem z 4 poduszkami o numerach (sensor) 4B0 959 655 G. Sterownik został wymieniony ponieważ poprzedni padł całkowicie bez powodu (auto nie uczestniczyło w wypadku). Sterownik zakupiony używany oryginalnie bez crasha z identycznymi...

    Samochody Elektryka i elektronika   18 Mar 2014 13:05 Odpowiedzi: 3    Wyświetleń: 10094
  • BMW E46 318i 98 Po wymianie akumulatora padł sterownik AIRBAG.

    Witam ponownie. Dziękuje za wszelkie rady. Dodam garść informacji które przez weekend uzbierałem. Kiedy wszystko było OK opcja CODE CAR powodowała kolejne kodowanie wszystkich modułów i np. kiedy dochodziło do LZS światła zaczynały migać i się poziomować po czym dochodziło do kodowania KMB (zegary) które oświetlały wszystkie kontrolki a wskazówki szły...

    Samochody Elektryka i elektronika   18 Mar 2012 15:51 Odpowiedzi: 3    Wyświetleń: 7776
  • VW Bora - Błąd złego kodowania ABS/ESP

    Witam. Trafiła do mnie Bora (1,6 8V, APF 1999r) z niedziałającym ABS'em. Jedyny błąd jaki jest w sterowniku ABS to 1044 - sterownik źle zakodowany. Sterownik ABS/ESP z numerem 1J0907379S. Według różnych danych, które znalazłem kodowanie ma być 00241. Przyjmuje też 00209 (opcja silnik z przepustnicą na linkę) ale zmiana kodowania nie pomaga. Sprawdzałem...

    Samochody Elektryka i elektronika   03 Lut 2016 19:35 Odpowiedzi: 0    Wyświetleń: 1941
  • Jak kodować za pomoca ScanDiaga? Kontrolki ABS, silnika, itd

    Witam, nie bardzo wiem gdzie zamieścić mój post więc zamieszczam go tutaj. Probuję walczyć z bolączkami auta(WV Passat 2.0) korzystając przy tym ze ScanDiaga. Szukam i czytam, czytam i szukam jak kodować, logować itd. I tu mam problem. Przywołując problem: świecą się kontrolki ABS, czerwony wykrzyknik, do tego po osiągnięciu obrotów załącza się kontrolka...

    Samochody Elektryka i elektronika   03 Kwi 2017 15:03 Odpowiedzi: 2    Wyświetleń: 930
  • vw/bora/2001 - Jakie jest prawidłowe kodowanie (soft coding) dla Climatronika ?

    Dzięki, jutro rano sprawdzę. Jeszcze tutaj: ktoś wrzucał swoje logi co prawda to Golf4 ale przecież Bora to niemal to samo auto. Tam widzę kodowanie 11000 czyli może 2 rzeczy włączone, czyżby klima dwustrefowa? Była taka w golf4? Ogólnie jeśli kodowanie będzie błędne to sterownik climatronic go nie przyjmie i kodowanie pozostanie 00000, tak ? Adresse...

    Samochody Elektryka i elektronika   01 Sie 2017 20:46 Odpowiedzi: 4    Wyświetleń: 3189
  • VW T5 2007 - Kodowanie ABS MK25E

    Witam, Muszę zakodować nowy sterownik ABS w VW T5 z 2007 roku (wersja z ESP, bez napędu na cztery koła, 1.9 105KM) o oznaczeniach 7H0 907 379 R. Niestety stary sterownik uległ uszkodzeniu i odczytanie z niego kodowania nie jest możliwe. Żadne ze znalezionych do tej pory kodowań nie pasuje - sterownik informuje o błędnym kodowaniu. Czy orientuje się...

    Samochody Elektryka i elektronika   07 Lut 2018 10:11 Odpowiedzi: 1    Wyświetleń: 4245
  • VW Caddy IV - błąd 01044 oraz 01325 - nieprawidłowo zakodowany sterownik.

    Cześć Podczas skanowania wystąpił błąd na sterowniku 19 01044 - nieprawidłowo zakodowany sterownik Dodatkowo pojawił się błąd 01325 -sterownik układu kontroli ciśnienia w oponach - brak lub nieprawidłowe nastawy Czynności wykonane: Sterownik 19 Gateway kopia zgrana przed pojawieniem się awarii oraz z dzisiaj --> Kopia zapasowa Sterownik: 19 Interfejs...

    Samochody Elektryka i elektronika   02 Sty 2021 15:43 Odpowiedzi: 3    Wyświetleń: 2901
  • Passat b6 bixenon dołożony - Błędy w sterowniku zasięgu

    Witam. Chciałbym się zapytać czy ktoś miał podobne błędy w sterowniku zasięgu poziomowania (55)i poradził sobie z tym, lampy dołożyłem bo miałem zwykłe, poziomowanie podpiąłem zgodnie z schematem CAN wpięty w moduł gatway w odpowiednia linie ( pin 6 i 16), moduł generuje takie błędy( zaczerpnąłem z kogoś tematu gdzie nikt nie odpowiada) Adres 55: „Zasięg...

    Samochody Elektryka i elektronika   19 Mar 2021 10:38 Odpowiedzi: 0    Wyświetleń: 687
  • sterownik silnika Skoda Fabia

    Tak zgadza się jeśli się wprowadzi błędny kod to w sterowniku włącza się elektroniczna blokada kturą mozna odblokować podłączajac tester diagnostyczny(vas )oczywiście w serwisie i podczas programowania jak komp je3st zablokowany elektronicznie to włacza sie czas odblokowania sterownika po tym czasie możń zacząć kodować odnowa Do wkodowania jest potrzebny...

    Samochody Elektryka i elektronika   20 Lut 2006 08:20 Odpowiedzi: 10    Wyświetleń: 14321
  • Komunikacja radiowa miedzy obiektem a sterownikiem PLC

    W przypadku sterowania żarówką w trybie włącz/wyłącz potrzebujesz sygnału dyskretnego (zwanego cyfrowym w nomenklaturze PLC). Jeśli natomiast chciałbyś płynnie sterować jasnością (a raczej regulatorem jasności) świecenia żarówki, wtedy w najprostszym przypadku potrzebowałbyś sygnału analogowego. Tak więc w Twoim konkretnym przykładzie potrzebujesz przesłać...

    Automatyka Przemysłowa   01 Gru 2007 17:59 Odpowiedzi: 6    Wyświetleń: 3259
  • Kodowanie modułu komfortu w SKODA FABIA

    Witam! Mam problem z sterowaniem elektryką prawych drzwi w Fabi 1,9SDI z 2000 roku. Elektryczne szyby w przednich drzwiach, z tyłu korby, brak elektrycznych lusterek, na błotniku napis COMFORT ale to jeszcze o niczym nie świadczy. Objawia sie to następująco: - gdy kupiłem samochód nie działało sterowanie szybą u pasażera z przodu (uwalony był układ...

    Samochody Elektryka i elektronika   25 Mar 2011 18:44 Odpowiedzi: 3    Wyświetleń: 30101
  • Sterownik absu w passat b5 2001r błąd 1044

    witam posiadam passata 2001r 1,9 tdi 130 km mam problem ze sterownikem abs błąd to 1044-sterownik nieprawidłowo kodowany jakie kodowanie powinno być sterownika o nr 8d0 907 389d opis systemu abs/esp front d56 pytanie jakie kodowanie powinien miec

    Samochody Elektryka i elektronika   11 Cze 2013 18:05 Odpowiedzi: 3    Wyświetleń: 3861
  • Kodowanie immo do Seat Alhambra 7M3 z 2002r.

    Procedura adaptacji kluczyków: - wkładamy prawidłowy kluczyk do stacyjki (taki, którym można uruchomić silnik) i włączamy zapłon, - w programie wybieramy opcję [Immobilizer], jeśli nie nastąpiło połączenie, oznacza to że immobilizer obsługiwany jest przez sterownik liczników, więc należy wybrać opcję [Liczniki], - następnie z opcji wybieramy [Logowanie],...

    Samochody Początkujący   10 Wrz 2011 15:21 Odpowiedzi: 8    Wyświetleń: 7023
  • Audi A4 B6 DRL - pytanie o przekodowanie sterownika

    Witam, autko Audi A4 B6 2004 r. (8E0) bez xenon. Próbowałem podziałać VCDS'em żeby włączyć DRL (światła do jazdy dziennej) w miejsce przeciwmgłowych. Chciałem zmienić kodowanie w 09-Cent. Elect. - Coding - 07. Okazało się, że autko nie ma Bordnetz, tylko Lastmodul RDW, a więc nie wyświetla się bezpośrednio długie kodowanie, tylko pyta o Software Coding...

    Samochody Elektryka i elektronika   02 Mar 2012 21:59 Odpowiedzi: 3    Wyświetleń: 16566
  • Kodowanie licznika Golf 99r

    Źródło openodb.org Od pewnego czasu strona nie działa. Skąd kolega wziął informacje? Znalazłem w necie kopię URL.zip Poza tym ,wszystkie informacje na open.odb nie były wynikiem wnikliwych prac lecz tylko skopiowaniem informacji które są zawarte w plikach .lbl programu VCDS. Wystarczy otworzyć plik danego sterownika lbl zawartego w/w programie np.wordpad...

    Samochody Elektryka i elektronika   16 Lip 2012 14:30 Odpowiedzi: 8    Wyświetleń: 8856
  • Błąd 1044 w sterowniku radia

    VW Passat 1.9 TDI, 2006.,radio fabryczne (CD). Mam taki błąd w sterowniku radia (56). Kodowanie bieżące jest 0020200. Pytanie: czy jeśli mam antenę w szybie tylnej, to czasem nie powinno być 0020201 ? Próbowałem takiego kodowania, ale nie wchodzi - być może , że moja zabawka jest za słaba (VAG5053 ITS). I pytanie nr 2: jeżeli dołożę dodatkowe głośniki...

    Samochody Elektryka i elektronika   14 Paź 2013 08:12 Odpowiedzi: 0    Wyświetleń: 1107
  • passat b6 - kodowanie epb

    Witam. Mam problem z kodowaniem epb w passacie b6.Problem polega na tym że nie mogę zmienić kodowania sterownika na 11 bądz 12 ponieważ kodowanie pokazuje 1000 i innej opcji nie przyjmuję. Na trzech sterownikach jest identycznie.Może ktoś się już z tym spotkał?

    Samochody Elektryka i elektronika   12 Lut 2014 19:34 Odpowiedzi: 0    Wyświetleń: 1665
  • Kodowanie ABS VW Polo classic 1999

    Witam forumowiczów. Mam problem z kodowaniem sterownika ABS o numerze 6X0907379 B i pompa o numerze 6X0 614 117 w VW Polo Classic 1.4 60KM sedan 1999r. Nie mogę znaleźć odpowiedniego kodu do tego modelu. Świeci się kontrolka ABS cały czas. Musiałem wymienić sterownik wraz z pompą na inny używany o tych samych numerach i nie miałem możliwości sprawdzenia...

    Samochody Początkujący   25 Sie 2014 11:48 Odpowiedzi: 1    Wyświetleń: 2541
  • omega 2.6v6 kodowanie abs -

    Witam. w sterowniku abs jeden błąd odnośnie błędnego kodowania. op-com ma możliwość kodowania do x25xe oraz x30xe, niestety nie ma silnika y26se. Mozliwosc kodowania do silnika y26se znalazlem po vectrze b, jednak nic sie nie zmienia. Do tego op-com krzyczy że nie może poprawnie zidentyfikowac sterownika abs (id 0x0148) nie mam w oknie op-coma takiej...

    Samochody Elektryka i elektronika   22 Paź 2019 12:49 Odpowiedzi: 1    Wyświetleń: 807
  • Sprinter 211 03` - Czy można sterownik z innym numerem ?

    Nie wiem jak w dostawczych, ale np. w Actrosach albo Axorach można podmienić i auto będzie jechać, ale nie tak jak powinno. Należy zakodować sterownik do reszty sterowników. To jeszcze zależy od czego ten sterownik, np. ABS/ASR w Actrosie jest na jednym sterowniku, sterowanie silnika na osobnym, sterowanie skrzynią na osobnym itd. Podam jeszcze jeden...

    Samochody Elektryka i elektronika   26 Paź 2015 12:56 Odpowiedzi: 8    Wyświetleń: 1122
  • Focus 1,8 TDCI - Kodowanie wtryskiwaczy / nie zapala...

    Witam. Focus 2002 rok, 1,8 TDCI Delphi. Nie odpala. W silniku stały błąd p1200. Zamiast kodów wtrysków same śmieci. Nie mogę zrobić kodowania. Próbowałem trzema testerami: Launchem, texa i delphi. Przy probie kodowania delphi'm rozłącza komunikację inne przechodzą kodowanie ale wtryski dalej nie zakodowane. W którym sterowniku siedzą kody? W PCM czy...

    Samochody Elektryka i elektronika   17 Gru 2015 12:11 Odpowiedzi: 10    Wyświetleń: 7095
  • VW T4 2,5 ACV - Kodowanie ABS/ESP loginy i kody

    Witam, Wymienilem skrzynie z automatu na manual w mojej T4 2002 rok VR6 z ABS i ESP i mam problem z przekodowaniem sterownika. Probowalem wiele roznych kodow i loginow zaden nie pracuje, ma ktos moze jakis pomysl??? Oryginalny login 07769 i kod 06639 van z kolami 16 cali jesli to robi jakas roznice... Sterownik 7D0 907 379 D ESP 5.3 T4 FRONT

    Samochody Elektryka i elektronika   17 Lis 2016 02:29 Odpowiedzi: 3    Wyświetleń: 4212
  • Audi a4 b6 - kodowanie z manualu na automat a defekt radia

    Cześć, chciałem dziś zmienić kodowanie sterownika z manualu na automat ale napotkałem dwa problemy. Sterownik nie przyjmuje innego kodowania niż 00002 mimo zmiany wartości po ponownym włączeniu zapłonu wraca do 00002. Niby kodowanie się nie zmieniło nic więcej nie ruszałem a znikło podświetlenie radio i samo radio przestało działać. Dodam że wydłużałem...

    Samochody Elektryka i elektronika   21 Sty 2018 13:19 Odpowiedzi: 7    Wyświetleń: 2016
  • Xara picasso EDC15C2 nie chce dopisac sterownika

    Nie, coś nie jest tak ale konceptu nie mam . na off nie wybudzi BSI . Błędy w ECU - funkcja kodowaniej blokady rozruchu . Funkcja uszkodzona - funkcja telekodowania . Bład telekodowania . w BSI tylko zablokowane ECU może Virgin zły ? Przyznam że ćwiczy mnie to auto .

    Samochody Elektryka i elektronika   05 Kwi 2017 08:00 Odpowiedzi: 9    Wyświetleń: 942
  • Audi Q7 2007 4.2TDi - Kodowanie akumulatora i zwarcie masy na czujnikach klamki,

    Cześć Potrzebuję małej pomocy przy kodowaniu, dokładnie znajdując informacje na naklejce, muszę wiedzieć: Numer części baterii (10 lub 11 cyfr). Numer seryjny baterii (10 cyfr). Dostawca baterii. Oraz mam kilka błedów w aucie : Adres 05: Zezwolenie startu Plik etykiet: 4F0-910-852.clb Oznaczenie elementu: 4F0 910 852 HW: 4F0 905 852...

    Samochody Elektryka i elektronika   04 Paź 2019 20:15 Odpowiedzi: 3    Wyświetleń: 7044