REKLAMA

komentarz eagle

Znaleziono około 108 wyników dla: komentarz eagle
  • Eagle. Za dużo ścieżek w projekcie. Co robię źle?

    w sumie to sam nie wiem dlaczego od razu sie rzucilem na smd prawdopodobnie zobaczylem wykaz elementow montazowych i zanim sie zastanowilem to zaczalem zamawiac ale moze to na plus? juz troche sobie lutowalem smd na plytce ktora dla proby wytrawilem i ladnie to wyglada ale jeszcze pocwicze a czego nie dwuwarstwowa? czym to niby sie rozni? izolacje polygonu...

    Początkujący Elektronicy   25 Kwi 2009 11:19 Odpowiedzi: 9    Wyświetleń: 1838
  • [Eagle] Płytki startowe - projekt i PCB

    Witam. Zaprojektowałem sobie 3 płytki startowe: z przyciskami, z wyświetlaczem czterocyfrowym i z mikrokontrolerem, żeby sobie zwolnić miejsce na płytce stykowej i zaoszczędzić połączeń przy robieniu prototypów. Pierwszy raz będę przenosił projekt ze świata wirtualnego w rzeczywisty, więc pierwej chciałbym się upewnić u mądrzejszych ode mnie, czy te...

    Pomoc w PCB   26 Sty 2013 18:00 Odpowiedzi: 3    Wyświetleń: 4404
  • Autodesk Eagle w licencji subskrypcyjnej

    Ponad pół roku temu Przedstawiciele firmy Autodesk utrzymują oczywiście, że jest to zmiana na lepsze. "EAGLE jest teraz częścią rodziny Autodeska. Oznacza to większy i silniejszy zespół deweloperów zaangażowanych w projekt, co przekłada się na nowe funkcje i poprawki wprowadzane do programu częściej i szybciej, co pozwoli Ci cały czas korzystać z najnowocześniejszego...

    Newsy   08 Lis 2019 12:43 Odpowiedzi: 65    Wyświetleń: 13629
  • REKLAMA
  • Własna biblioteka do GY-BMP280 w Eagle.

    Cześć, Ponieważ nie znalazłem gotowej biblioteki (do Eagle) do modułu GY-BMP280 [1] więc postanowiłem zrobić własną. Ponieważ jest to moja pierwsza biblioteka mam kilka pytań/wątpliowści: 1) Jeśli chodzi "package". Moduł ma wyjścia SCL, SDA itd i tworząc "package" powinienem wybrać "PAD" dla każdego z nich? Dla tego modułu pin- pitch wynosi 2,54 mm...

    Pomoc w PCB   07 Kwi 2018 13:37 Odpowiedzi: 2    Wyświetleń: 1242
  • REKLAMA
  • Napotkane problemy przy tworzeniu pierwszego projektu PCB w Eagle. Szukam kursu dla początkujących.

    Ale co tutaj tłumaczyć, komentarze ostrzeżeń w zasadzie zawierają wszystkie informacje o tym co jest nie tak.

    Pomoc w PCB   22 Lis 2023 16:37 Odpowiedzi: 13    Wyświetleń: 216
  • Problem z przemieszczaniem elementów w Eagle

    Darmowa wersja EAGLE umożliwia rozkładanie elementów jedynie na obszarze 10x8cm, jeśli spróbujesz umieścić element gdzies indziej, otrzymasz taki właśnie komentarz. Obszar ten standardowo jest oznaczony białym prostokątem.

    Początkujący Naprawy   13 Wrz 2009 14:44 Odpowiedzi: 1    Wyświetleń: 783
  • REKLAMA
  • [Eagle] Prośba o sprawdzenie projektu

    Witam. Czy mógłbym prosić o sprawdzenie projektu przygotowanego w programie Eagle? Projekt opiera się o AtMege32 i ma to być prosty robocik z możliwością zdalnego sterowania przez Bluetooth. Prosiłbym o informację czy projekt jest ok, czy nie ma jakichś rażących błędów itp. Z góry bardzo dziękuję za wszelkie uwagi, komentarze. W załączniku pliki sch,...

    Projektowanie PCB   24 Cze 2011 13:36 Odpowiedzi: 2    Wyświetleń: 1356
  • [Eagle] - prośba o sprawdzenie małej płytki z czujkami CNY70 + demux 4051

    Witam, to dopiero moja druga płytka i pierwsze spotkanie z demuxem. Proszę o wszelkie uwagi bądź komentarze. To nie jest cały projekt to jedynie płytka z transoptorami i demuxem, która później będzie połączona z avr.

    Projektowanie Układów   20 Kwi 2017 22:20 Odpowiedzi: 0    Wyświetleń: 342
  • Firma LEDIKO jest dystrybutorem programu EAGLE w Polsce

    Szanowany Panie, Skontaktował się Pan z europejską centralą producenta EAGLA. Natomiast firma LEDIKO nawiązała bezpośrednią współpracę z centralą w USA, gdyż Cadsoft USA oferuje EAGLA po lepszych cenach niż Cadsoft Germany. Dlatego dla naszych Klientów (czyli również dla Pana) bardziej korzystne jest to, że mamy nawiązaną współpracę z amerykańską częścią...

    Ogłoszenia Elektronika   04 Lut 2008 21:26 Odpowiedzi: 37    Wyświetleń: 7531
  • REKLAMA
  • Android Eagle PCB Assistant - przeglądarka plików Eagle

    Na chwilę obecną wolę zdalnie przeglądać projekty Eagle niż korzystać z obecnej wersji Eagle Viewer. Dla mnie EagleViewer jest nieprzydatny bo to jednak tylko maksymalnie prymitywna przeglądarka plików. Co z tego, że jest dosłownie o krok od tego o co mi chodziło, skoro autor nie ma zamiaru nic dodawać ani poprawiać. Moja wersja natomiast jest zabugowana...

    DIY Warsztat   11 Lis 2017 10:26 Odpowiedzi: 85    Wyświetleń: 15501
  • eagle pierwsze pcb:)

    Witam! Zaprojektowałem sobie 1 płytkę w eaglu. Ogólnie jeśli chodzi o ptojektowanie plytek zaczynam od zera. Projekt dotyczy zabezpieczenia głośników ?. Czy ktoś z szanownych kolegów mógłby zerknąć czy nie walnąłem jakiś byków, szczególnie chodzi mi o strone techniczną. Poza tym nie mogę zmusić eagla do zrobienia zwor. Chodzi mi o autoroutera. Wszelkie...

    Projektowanie PCB   06 Lip 2005 12:00 Odpowiedzi: 2    Wyświetleń: 1897
  • Eagle - co robię nie tak?

    Możesz też obracać płytkę modyfikując kod pliku .pov . Jeżeli chcesz widzieć płytkę od spodu musisz zmienić następujące wartości. pcb_upsidedown = off zmienić na pcb_upsidedown = on oraz pcb_rotdir = x na pcb_rotdir = z Warto zwracać uwagę na komentarze w pliku, dzięki nim możemy szybko go modyfikować. pozdrawiam ps. możesz zamieścić poprawioną wersje...

    Pomoc w PCB   31 Sie 2010 16:46 Odpowiedzi: 16    Wyświetleń: 4807
  • Stabilizator imp. w Eagle - spr. schematu

    Zamieszczam poprawiony projekt. Wzorowałem się trochę na projekcie kolegi marco47, ale starałem się jednak samodzielnie porozkładać i połączyć elementy. Schemat: Przerysowałem całkowicie schemat i zastąpiłem L4960 modelem z biblioteki ściągniętej ze strony Cadsoft. Zamieniłem też niektóre elementy i przede wszystkim - narysowałem schemat według rad...

    Projektowanie PCB   20 Maj 2011 15:56 Odpowiedzi: 19    Wyświetleń: 6046
  • [Szukam, podzielę się] Biblioteki Eagle

    Witam, szukam biblioteki do takiej obudowy Rozumiem, że chodzi Tobie o TAS w obudowie DKD. Proszę bardzo :) [url=ftp://ftp.cadsoft.de/eagle/userfile... ps. Nie umieściłem pliku tutaj, gdyż silnik portalu stwierdził że rozszerzenie .lbr jest niedozwolone - bez komentarza.

    Projektowanie PCB   07 Lut 2024 18:52 Odpowiedzi: 2983    Wyświetleń: 754620
  • Atmega+RTC - prośba o sprawdzenie schematu (Eagle)

    Witam, ostatnio zajmowałem się trochę projektowaniem PCB i chciałbym prosić o pomoc w jego sprawdzeniu. Założenie jest takie, że mikrokontroler Atmega8A połączony z modułem PCF8583 magistralą I2C będą służyły do obsługi LEDowego wyświetlacza BCD (z dokładnością co do sekundy). Jako że do niektórych cyfr nie są potrzebne wszystkie 4 diody, pozwoliło...

    Projektowanie PCB   14 Lis 2012 11:50 Odpowiedzi: 3    Wyświetleń: 1890
  • Eagle - schemat i PCB - sprawdzenie

    Witam serdecznie, Zwracam się do Was z prośbą o sprawdzenie schematu oraz płytki PCB prostego czujnika. Jest to moje pierwsze zetknięcie z programem Eagle i tematem wytrawiania PCB. Czujnik wykorzystuje podczerwień: dioda nadawcza IR, fototranzystor. Konstrukcja bardzo prosta - tylko odfiltrowanie składowej stałej i wzmocnienie sygnału. Koncepcja jest...

    Projektowanie PCB   27 Gru 2012 23:33 Odpowiedzi: 5    Wyświetleń: 2754
  • [Zlece] Wykonanie biblioteki do Eagle - Wyświetlacz 7 segmentowy

    Witam, Jak w temacie. Datasheet przedmiotu: Link do przedmiotu: Interesuje mnie biblioteka do programu Eagle, wyświetlacza podanego w linku powyżej. Jest to wyświetlacz 7-segmentowy o wspólnej anodzie (czyli +) gdzie wysokość znaku to 20mm. Wyświetlacz jest czerwony. Oczywiście musi być bardzo dokładna.. Oferty prosze przesyłać prywatnie lub w komentarzu...

    Projektowanie Bazar   14 Sty 2014 21:45 Odpowiedzi: 0    Wyświetleń: 1218
  • [EAGLE] PCB do sprawdzenia

    I jeszcze jedno pytanko, oryginalny układ jest na NE5532, ja pod ręką mam TL072 i w komentarzach pod projektem wiele kilka osób poinformowało, że użyło TL072, tylko jednej rzeczy nie rozumiem, TL072 jest zasilane symetrycznie, a NE5532 nie, nie będzie problemu gdy je zamienię?

    Pomoc w PCB   05 Cze 2014 17:36 Odpowiedzi: 27    Wyświetleń: 2766
  • [Eagle PCB] Sprawdzenie projektu PCB

    trzeba pamiętać o diodach zabezpieczających tranzystory. każdy przekaźnik ma podpiętę równolegle 2 diody 1N4148 (po 1 do cewki) Nie myślałeś nad zastosowaniem układów ULN2803 lub ULN2804? Szczerze? Nie, ale spodobał mi się twój pomysł. Projektując miałem na uwadze części które posiadam ale zakupy i tak są nieuniknione. Zacząłem przerabiać pod ULN2803...

    Projektowanie PCB   17 Mar 2017 21:04 Odpowiedzi: 15    Wyświetleń: 2994
  • IIyama reg eagle, Asus 1080TI - 2x 1440p pod GTX1080Ti - na jednym nie działa

    Cześć, nie wiem do którego działu to pasuje więc wrzucam tutaj. Przyszły mi dzisiaj 2 monitory IIyama G-master GB2760QSU (red eagle) Niestety gdy podpiąłem je do Asus gtx 1080TI poseidon (oczywiście za pomocą display portu), to nie jestem w stanie ustawić na obu rozdzielczości 1440p. Na jednym cały czas ustawia się 1080p a gdy zmienię na 1440p, monitor...

    Komputery Hardware   11 Paź 2017 11:08 Odpowiedzi: 1    Wyświetleń: 423
  • Tworzenie i wstawianie zworków w Eagle - poradnik krok po kroku

    HI HI . Zgadzam się że jest mnóstwo sposobów na zworki , ten mój tu przedstawiony dedykowany jest jak już wspominałem w poście do płytek jednostronnych a ich rozmieszczenie jest do wydrukowania na drukarce z widoku odpowiedniej warstwy . Co do widoku taki dodatkowy druczek zawsze można zawrzeć razem z pcb czy schematem ,tu problemu nie widzę, Kiedyś...

    Programy EDA   09 Mar 2019 14:04 Odpowiedzi: 4    Wyświetleń: 3021
  • Czy warto wybrać i5-12400F, B660 DS3H i RTX 3060 Eagle OC 12 GB?

    No to dobrze że trafiłem na ten komentarz bo jeszcze bym załatwił procka albo płytę. I dzieki też za info o co z tym chodzi. Poczytałem więcej i nie w każdym przypadku tak jest czasami zamyka się łatwo gdzie indziej ciężko i trzeba podłożyć podkładki milimetrowe lub te ramki z thermalright. A gość pewnie cisnął na siłe zapięcie i uszkodził plyte. Mam...

    Komputery Co kupić?   14 Sty 2023 17:25 Odpowiedzi: 28    Wyświetleń: 945
  • Błędy w działaniu programu KiCAD

    Moim zdaniem skrypt konwertujący bibliotekę robi to nieudolnie i przekonwertowana biblioteka zawiera błędy Nie do końca. Z bibliotekami skonwertowanymi z Eagle-a jest problem i pojawił się on pomiędzy wersjami BZR3044 a BZR3248 (oficjalnymi). Moje śledztwo w tej sprawie wykazało, że w plikach bibliotek w sekcji DRAW nie może być żadnych linii zaczynających...

    Projektowanie PCB   11 Cze 2020 12:20 Odpowiedzi: 95    Wyświetleń: 22262
  • Programowalny sterownik jeszcze inaczej

    wstęp Projekt jest nawiązaniem do projektu opublikowanego przeze mnie wcześniej: Sprzęt Jako moduł sterujący wybrałem Arduino Nano. Aby podłączyć moduł mikrokontrolera do płyty we/wy został wykonany obwód drukowany umożliwiający osadzenie modułu Arduino w taki sposób, aby jego złącze USB było dostępne z zewnątrz obudowy. Płyta we/wy jest zasilona z...

    DIY Konstrukcje   22 Cze 2018 22:43 Odpowiedzi: 13    Wyświetleń: 5505
  • CADICAM 1.0 - prosty program CAD/CAM, konwerter DXF na G-KOD

    Język angielski i jednostki calowe pewnie kiedyś się znajdą o ile program będzie przeznaczony dla szerszego grona odbiorców. Narazie robię program dla siebie a więc nie ma takiej potrzeby :) Żeby była potrzeba, musi być zainteresowanie. Wrzuciłem zalążek programu na elektrodę i na inne forum (związane z cnc). Na elektrodzie jak widać zainteresowanie...

    DIY Konstrukcje   25 Lis 2019 08:51 Odpowiedzi: 30    Wyświetleń: 39249
  • Arduino VGA Shield - wersja druga, SMD - z expanderem portów i pamięcią EEPROM

    Witajcie moi drodzy Chciałbym zaprezentować tutaj drugą wersję mojego Arduino VGA Shield (nakładki na Arduino UNO generującej jednokolorowy obraz VGA i czarno-biały PAL/NTSC ) - tym razem wykonaną za pomocą elementów SMD , i z dodatkowymi układami na pokładzie ( expander portów MCP23016 oraz dwie kości EEPROM AT24CM02 ). Opis pierwszej wersji tego...

    DIY Konstrukcje   28 Maj 2020 10:21 Odpowiedzi: 6    Wyświetleń: 4227
  • Prosty 6-kanałowy sterownik schodowy

    Witam, moi drodzy. Chciałbym wam przedstawić mój pierwszy projekt na Elektrodzie: prosty sześciokanałowy sterownik schodowy taśm LED oparty na mikrokontrolerze ATMEGA8 i kilkunastu elementach RC. Projekt ten powstał ponad rok temu i wykonałem go mojemu znajomemu. Sterownik ten działa znakomicie do dzisiaj. Poniżej zamieszczam kilka zdjęć sterownika...

    DIY Konstrukcje   07 Lut 2019 09:16 Odpowiedzi: 16    Wyświetleń: 4590
  • Przyjazne oświetlenie LED pod szafką kuchenną

    Jeśli pomysł spodobał się, to jest mi niezmiernie miło. Wspomniana kuchnia, w której ma to pracować, jest bardzo mała. Nie ma tu problemów z wychwyceniem ruchu. Być może nawet będzie trzeba nieco skręcić na czułości. Jest to jednak wskazówka dla potencjalnego odtwórcy. W załączniku program w ARDUINO i schemat w EAGLE. Mam nadzieję, że 1 punkt prowizji...

    DIY Konstrukcje   04 Sie 2019 22:38 Odpowiedzi: 26    Wyświetleń: 5781
  • Konfiguracja sieci internetowej w Hotelu - Linux - zadanie

    Witam, czy ktoś potrafiłby wykonać schemat konfiguracji takiej sieci ? : Zostałeś pracownikiem działu IT firmy X. Firma ta zajmuje się oferowaniem usług organizacji konferencji, kongresów, itp. W budynku wydzielone są więc pomieszczenia dla pracowników administracyjnych, jak również znajduje się część hotelowa oraz sale konferencyjne. Jako pracownik...

    Hardware, Software, naprawa urządzeń sieciowych   24 Cze 2015 15:04 Odpowiedzi: 1    Wyświetleń: 1503
  • real robots - magazyn o robotach!

    witajcie, to mój pierwszy wpis na forum. przybyłem w sprawie, któa jak sądze zainteresuje większośc młodych twórców robotów, tych początkujacych i być może tych troche starszych. znalazłem przed chwilą w sieci informacje o magazynie real robots. ta gazeta działa na zasadzie znanych juz w naszym kraju magazynow kolekcjonerskich, które w każdym numerze...

    Automatyka Przemysłowa   02 Kwi 2005 13:49 Odpowiedzi: 4    Wyświetleń: 1998
  • Wzmacniacz Lampowy ECL86 i EM84 - PCB

    Witam wszystkich Dziś chciałbym Wam przedstawić konstrukcję wzmacniacza lampowego, jest to moja druga konstrukcja lampowa. Po udanej konstrukcji radioodbiornika lampowego na fale długie - link poniżej Postanowiłem zbudować wzmacniacz lampowy - jako iż budżet na lampowca nie był zbyt duży postanowiłem użyć to co miałem pod ręką, czyli podzespoły od...

    DIY Konstrukcje   13 Gru 2022 11:25 Odpowiedzi: 68    Wyświetleń: 41448
  • Jaki program do rysowania schematów, projektowania płytek

    Zje***ałeś Eagle'a który jest IMO bardzo dobrym programem którego używam od dobrych kilku lat. A zastanawiasz się nad workbenchem? No ja daruję sobie komentarz. A może kwestią było to, że Eagle'a nie da się zwitaminkować? Żeby nie było OT. Lepszy Protel. Dodano po 53 Poza tym, to nie podwórko. Zdania zaczynają się w naszym kraju z dużej litery, a kończą...

    Początkujący Elektronicy   05 Lis 2006 23:10 Odpowiedzi: 11    Wyświetleń: 2193
  • Książki o programowaniu uC i systemach uC - opinie, przemyślenia, nowości

    Jako że ostatnio wywaliłem sporo kasy na książki to moge co nieco zrecenzować: Najpierw wydawnictwo BTC. Jakość fizyczna książki jest bardzo dobra. Natomiast czytając je, mam wrażenie że to po prostu przedruk datasheetów na język polski + kilka komentarzy autora. Tak więc zawartości merytoryczne oceniam na przeciętne, bo skoro pół książki to datasheet...

    Mikrokontrolery Początkujący   21 Mar 2020 12:19 Odpowiedzi: 525    Wyświetleń: 135875
  • ENC424J600 Arduino Ethernet Shield - pełny projekt i 11 przykładów użycia

    Witajcie moi drodzy Przedstawię tutaj mojego shielda (nakładkę) na Arduino UNO opartego o układ ENC424j600. Shield ten pozwala podłączyć Arduino do internetu bez żadnego lutowania, a nawet bez użycia kabelków i płytek stykowych. W temacie dam jedenaście pełnych przykładów jego użycia z moimi komentarzami, dotyczyć one będą tematów takich jak UDP, TCP,...

    DIY Konstrukcje   22 Lip 2020 09:09 Odpowiedzi: 1    Wyświetleń: 4827
  • Chińskie wrzeciono szczotkowe CNC - wyważenie w domowych warunkach

    To i ja cos napiszę, co do tematu w dziale CNC jestem za, można nawet część tego tematu przenieść w sumie. Też się pochwale moim "wynalazkiem" i jak jak kolega (at)elektronockaut prosił bym o brak komentarzy co nie tak ;) ....bałagan mam zamiar na dniach trochę ogarnąć ale jakoś weny nie mam :P. 1102869 1102868 1102870 1102871 A teraz do problemów co...

    Amatorskie Maszyny CNC   01 Wrz 2021 20:27 Odpowiedzi: 97    Wyświetleń: 9378
  • [ATMEGA16] Prośba o sprawdzenie schematu

    Freddi Chopin stabilizatory ic4, ic5 rzeczywiście są abstrakcją bo są źle podłączone, a eagle poznawałem przez weekend a rysowałem układ dzisiaj więc dlatego spagetti (mniam spagetti), może w przyszłości coś bardziej niepoplątanego Andy74 dzięki za uwagi. Cóż muszę się przyznać wzorowałem się na projekcie xarias (code.google.com/p/xarias/ ). Stąd rozwiązanie...

    Mikrokontrolery AVR   22 Lip 2009 14:38 Odpowiedzi: 14    Wyświetleń: 3170
  • Interaktywna podstawka pod kufel

    Ojojoj, ile odpowiedzi :) Konstrukcja estetycznie wykonana. W jaki sposób wykonałeś tą ozdobną mozaikę na PCB? Eagle. Najpierw potrzebujemy obrazka, format wejściowy to dwu kolorowa bitmapa. Następnie w eagle wybieramy ULP -> "import-bmp", wybieramy które kolory chcemy przenieść na pcb, a ostatni krok to wybranie warstwy i wyskalowanie (niestety nie...

    DIY Konstrukcje   20 Paź 2018 13:10 Odpowiedzi: 35    Wyświetleń: 34170
  • Pomoc przy tworzeniu PCB na podstawie schematu - archiwum

    Witam Do augustyn22: własnie ucze sie programu eagle i w ramach terningu zrobiłem dla Ciebie tę płytke. Jak beda jakies problemy lub błędy to pisz zaraz poprawie. To moja pierwsza płytka więc prosze o komentarze. Przesyłam pliku z programu eagle 5.6. Pozdrawiam

    Pomoc w PCB   18 Mar 2024 18:35 Odpowiedzi: 1512    Wyświetleń: 270267
  • Zegar na ATMega16, współpraca z DCF.

    Witam, Kolego yogi09 dziękuję za uznanie. Płytki i elementy aluminiowe zlecone firmom zewnętrznym. Niestety pomimo dużej ilości ofert na rynku ich jakość i dostępność dla produkcji jednostkowej jest znikoma. Zegar zbudowany jest wg schematu ze strony która niestety została niedawno usunięta. Sam zaprojektowałem płytki w Eagle zarówno te z kontrolerem,...

    Mikrokontrolery   18 Mar 2024 21:38 Odpowiedzi: 544    Wyświetleń: 170325
  • Stacja meteorologiczna... bez mikrokontrolera

    Z pewnością wielu czytelników wykorzystywało czujniki lub inne układy scalone z interfejsem I2C w projektowanych urządzeniach. Jednym ze sposobów jest montaż testowanego układu scalonego na płytce drukowanej i połączenie jej z mikrokontrolerem. Równocześnie środowisko programów dla mikrokontrolerów wraz z wewnątrzsystemowym programem uruchomieniowym...

    DIY Zagranica   02 Sie 2013 09:13 Odpowiedzi: 0    Wyświetleń: 6417
  • Układ dopuszkowy z przekaźnikami sterowanymi przez Bluetooth 4.0

    Witam Mój pierwszy post na forum sporo szukałem czytałem i mam nadzieje że mnie strasznie nie będziecie jechać :) Pracuje jako programista aplikacji mobilnych więc nie jestem zawodowym elektronikiem tylko to raczej pasja. Sporo musiałem się uczyć i pewnie mam braków jeszcze dużo więc proszę o trochę wyrozumiałości ale też konstruktywnej krytyki ;) W...

    Projektowanie Układów   08 Lis 2014 21:19 Odpowiedzi: 5    Wyświetleń: 1632
  • Moje światełka :) i sterownik By Uszol 1990

    Grzanie troszke sie grzeją (50w) ale myśle że jak powiesze je filtrem w dół to ciepłe powietrze pujdzie do góry i bedzie ok :) po zabawie wszystko było w porządku nic sie nie stopiło :D żarówka to Żarówka halogenowa JD G-6,35 50W 230V nie moge znaleść opraw zamieszcze zdjęcie żarówki i oprawy :) pozdrawiam Dodano po 1 Witam chciałem wam zaprezentować...

    DIY Konstrukcje   07 Lip 2007 17:42 Odpowiedzi: 43    Wyświetleń: 11139
  • Programator czasowy wycieraczek samochodowych.

    Dziękuję za komentarze. Ciężko jest zbudować układ który zadowoli wszystkich (o ile w ogóle jest to możliwe) i nie takie miałem założenie. Dla mnie spełnia on wszystko to co potrzebuję. Zamiast jumperków spełniających funkcje zworek, można od razu wlutować odpowiednie zworki z drutu. Układ mam zamknięty w obudowie jak na zdjęciu, dodatkowo miejsce łączenia...

    DIY Konstrukcje   01 Kwi 2013 18:05 Odpowiedzi: 29    Wyświetleń: 23230
  • [mega16][bascom] Sterowanie 25 LED - programowy PWM

    Jeśli masz jakieś problemy opisz na forum pomożemy. Wszystko rozwiązane i działające jak najbardziej poprawnie. Kiedy kolega udostępni wsad i film? Ciężko z czasem a i nowe wyzwanie powoli powstaje na płytce stykowej (ale o tym będzie nowy wątek, nie omieszkam poinformować tutaj). Poza tym pora urlopowa się zbliża a nie jest tak prosto dograć wszystko...

    Mikrokontrolery AVR   06 Maj 2011 12:35 Odpowiedzi: 66    Wyświetleń: 13993
  • Pozytywka z optycznym zapisem melodii

    Muzyka jest najbardziej uniwersalnym sposobem ekspresji. Niezależnie od języka, kultury czy wieku pewne idee przekazywane przez muzykę się nie zmieniają. Można bezpiecznie założyć iż każdy lubi muzykę - taki czy inny jej rodzaj. Każdy zna to uczucie gdy podczas słuchania naszego ulubionego kawałka nóżka sama lata do rytmu albo ręką przebiera po niewidzialnym...

    DIY Zagranica   20 Gru 2013 01:08 Odpowiedzi: 9    Wyświetleń: 12717
  • Zabezpieczenie nadnapięciowa, przykład

    Dziękuje wszystkim za zainteresowanie i komentarze. Jeśli napięcie zasilacza nagle wzrośnie, to może coś przepalić, zanim przekaźnik je odłączy. Gwałtownie nie wzrośnie, jest stabilizowane. Układ ma zabezpieczać przed omyłkowym ręcznym, przez to powolnym wzrostem napięcia. A może do wyjścia zasilacza dołączyć stabilizator 5V z gniazdem USB; ... to tyrystor...

    Początkujący Elektronicy   18 Kwi 2016 16:03 Odpowiedzi: 14    Wyświetleń: 2397
  • Cyfrowy termometr z quasi-analogową skalą by bsw

    Dziękuję wszystkim za komentarze. Przepraszam że wcześniej nie odpisałem, ale byłem bez komputera. Projekt był przeze mnie robiony na "wariackich papierach" w trzy wieczory. Chciałem zdążyć przed końcem terminu końca konkursu - opublikowałem go dosłownie rzutem na taśmę. Kwarc istotnie nie jest potrzebny wmontowałem go na zapas a teraz wymontowałem...

    DIY Konkurs   20 Gru 2017 11:54 Odpowiedzi: 11    Wyświetleń: 6027
  • Czy chcecie oglądać naprawy elektroniki samochodowej?

    Wiesz z chęcią, ale nie dam rady ze względu na chorobę. Przykro to słyszeć, rozumiem. Klient jak odsyła ecu powinien podesłać wynik diagnostyki na aucie. Do naprawy czy zdiagnozowania licznika nie potrzebuję żadnej diagnostyki poza tą, której sam dokonam przez telefon. Taki wynik diagnostyki przydaje się jedynie po to, żeby wytłumaczyć i przekonać...

    Samochody Elektryka i elektronika   24 Sie 2020 22:55 Odpowiedzi: 139    Wyświetleń: 14820
  • Prosty niewielki zegar cyfrowy

    Dziękuję za Wasze wszystkie komentarze. Wiem, że obudowa nie jest idealna, ma pewne mankamenty, których nie starałem się ukrywać. Pomimo, że starałem się wykonać ją starannie, to wyszły pewne mankamenty, będące zarówno winą niedoskonałości samego budulca, jak i zbyt małego, mojego doświadczenia z takim materiałem. Co do użycia RTC z MCU, to nawet myślałem...

    DIY Konstrukcje   19 Lut 2023 20:48 Odpowiedzi: 37    Wyświetleń: 7371
  • Zasilacz stabilizowany 5V, 12V i regulowane.

    Witam! Chciałbym wam przedstawić moją konstrukcję, którą niedawno poskładałem. Mianowicie jest to zasilacz z stabilizowanymi napięciami : 5 VDC, 12 VDC oraz regulowane napięcie 0,67 - 26VDC. Cała konstrukcja powstała z powodu coraz większego zgłębiania swojej wiedzy w świecie elektroniki. W zasilaczu zastosowałem 3 stabilizatory (LM7805, LM7812, LM350)...

    DIY Poczekalnia   19 Wrz 2011 17:47 Odpowiedzi: 0    Wyświetleń: 2870
  • Termostat na Atmega8 z ustawianiem temp. zadanej.

    Proszę - na razie tylko .hex - niedługo zamieszczę .bas z komentarzami. Pozdrawiam! EDIT: Obecny tu załącznik usuwam. Umieściłem wszystko w pierwszym poście. Jak by ktoś chciał pliki Eagle'a to proszę pisać. W razie problemów/niejasności proszę pisać :) Pozdrawiam, Wojtek

    DIY Konstrukcje   18 Mar 2009 11:13 Odpowiedzi: 31    Wyświetleń: 17081
  • Radioodbiornik na lampach PCC84

    Witam wszystkich forumowiczów. Dziś niejako taki prosty projekcik radia AM. Schemat do projektu zaczerpnąłem stąd Można by powiedzieć, że jest to praca odtwórcza, ale nie do końca. Natrafiłem na YouTube.pl na cykl filmów, gdzie jest takie radio budowane i postanowiłem sprawdzić, czy aby na pewno takie radio działać będzie i jaka jest faktycznie jakość...

    DIY Konstrukcje   07 Lut 2024 13:34 Odpowiedzi: 111    Wyświetleń: 22257
  • Lampka na pilota na diodzie RGB (3Watt) sterowana ATMEGA8

    Odpowiadam na Wasze pytanka: - dodaję załączniki (kody w avrstudio) + projekt w Eagle (komentarz na schemacie dotyczący doboru rezystorów !) - w poprzednim poście wkradł mi się mały bug - wzmacniacz to nie LM324N ale LM358N Elementy (najistotniejsze/najdroższe): - dioda LED RGB 3W z firmy Maritex, nazwa LED-PG1N-3LFS ( w cenie 30PLN + koszt wysyłki...

    DIY Konstrukcje   10 Paź 2015 21:33 Odpowiedzi: 128    Wyświetleń: 63201
  • Katastrofa prezydenckiego samolotu TU-154 w Smoleńsku + Film

    Kolegów którzy mają praktyczną wiedzę lotniczą prosiłbym o komentarz do tekstu z trzeciego linku. Komentarz będzie jeden-kretynki, które raz zagrały w rózne symulatory lotu ( od F15 strike eagle wzwyż), albo z mózgami zlasowanymi poprzez radyjko o jedynie słusznej częstotliwości i tak bedą miały swoje teorie.

    Newsy   12 Kwi 2022 22:52 Odpowiedzi: 3078    Wyświetleń: 403307
  • Atmega Fusebit Doctor (HVPP+HVSP) - napraw fusebity

    Atmega fusebit doctor, jak sama nazwa mówi, to urządzenie do naprawienia nieumiejętnie przestawionych fusebitów w mikrokontrolerach z rodziny AVR. Największymi problemami jest ustawienie nieprawidłowego źródła zegarowego (fusebity CKSEL), wyłączenie programowania SPI (fusebit SPIEN), lub ustawienie pinu reset w tryb I/O (fusebit RSTDISBL). To proste...

    DIY Warsztat   08 Kwi 2016 21:09 Odpowiedzi: 342    Wyświetleń: 242965
  • Atmega8 [C] - nie odczytuje lini 1Wire

    Widzę, że przez moją niewiedzę zaraz wybuchnie wojna :-> Postaram się wszystko wyjaśnić dlaczego tak, a nie inaczej i wstawie brakujące elementy. Więc tak. Bartek.k.k napisał: xamrex napisał: Masz rezystor podciągający? Niektórzy o nim zapominają. Dla DS18B20? Jeśli tak to nie mam, ponadto nigdy ich nie używałem i wszystko chodziło, tylko teraz układ...

    Mikrokontrolery AVR   29 Cze 2011 14:36 Odpowiedzi: 34    Wyświetleń: 4203
  • ATMEGA32A-PU - Zaprogramowanie anod na dwa rozne wyjscia

    Dziękuje za odpowiedzi i wskazówki i przepraszam, że tak późno odpisuje, już coś zaczęło działać uwiesiłem się tego następnie przestało znowu zaczęło i tak minęły 2 tygodnie tym bardziej, że nie mogłem codziennie z tym siedzieć. Wracając do tematu chciałbym przedstawić go jeszcze raz (postaram się dokładniej z uwględnieniem eagle) z odpowiedziami na...

    Mikrokontrolery AVR   16 Lut 2014 22:40 Odpowiedzi: 11    Wyświetleń: 2481
  • Tester elementów elektronicznych na Atmega328P i LCD Nokia 5110

    Opis konstrukcji Tester powstał w celu nauki rysowania schematów w Eagle oraz nauki podstaw programowania mikroprocesorów. Dodatkowo jest małych rozmiarów. Po raz pierwszy zastosowałem elementy SMD. Schemat: Widok PCB z EAGLE: A oto zdjęcia gotowej konstrukcji: Aby ułatwić możliwość wymiany procesora i gniazda ZIF14, zostały one umieszczone w podstawkach....

    DIY Konstrukcje   16 Sie 2021 09:33 Odpowiedzi: 20    Wyświetleń: 25725
  • Cyfrowa stacja lutownicza RL1

    Opis konstrukcji Stacja powstała rok temu w celu nauki rysowania schematów w Eagle oraz nauki podstaw programowania mikroprocesorów. Po 12 latach od wykonania ostatniego układu elektronicznego postanowiłem zrobić coś nowego, by zaszczepić hobby chrześniakowi. Schemat podzielony jest na dwie części: 1. Płytka sterująca 2. Płytka zasilająca Widok PCB...

    DIY Konstrukcje   05 Kwi 2018 09:19 Odpowiedzi: 19    Wyświetleń: 8748
  • PLC Modbus RTU - IoT MyDevices Cayenne

    Opis konstrukcji Projekt powstał z myślą udostępnienia danych ze sterownika PLC przez internet. Wymieniony sterownik posiada port komunikacyjny RS232 oraz wykorzystuje protokół komunikacyjny Modbus RTU. Podczas wykonywania prac uznałem, iż miłym dodatkiem będzie możliwość zdalnego załączania urządzeń. Całość oparłem o uniwersalną płytkę stworzoną jakiś...

    DIY Konstrukcje   01 Maj 2018 13:09 Odpowiedzi: 6    Wyświetleń: 5346
  • Stacja pogodowa LCD - Pyły, Temperatura, Wilgotność, Ciśnienie

    Opis konstrukcji Stacja pogodowa z prezentacją pomiarów na LCD 4x20 oraz możliwością udostępnienia danych dla Domoticz lub ThingSpeak. Sercem stacji jest układ ESP8266-12F na zaprojektowanej z gotowych modułów płytce. Schemat płytki PCB zaprojektowanej w EAGLE 9 przedstawia poniższe zdjęcie: Płytka jest zaprojektowana w sposób umożliwiający szybką...

    DIY Konstrukcje   05 Lis 2019 13:44 Odpowiedzi: 16    Wyświetleń: 6222
  • Prosty transceiver na pasmo 80m bez układów scalonych

    Witajcie moi drodzy. Dziś przedstawię trzecie wykonane przeze mnie krótkofalarskie urządzenie. Jest to prosty homodynowy transceiver DSB na wąski zakres częstotliwości w obrębie pasma 80m (dokładniej 3.58MHz a zakres jakieś 80-90Khz), zrobiony Schemat i PCB Oto oryginalny schemat transceivera wedle VK3AJG: Jak widać, nie ma tu scalaków, z tranzystorów...

    DIY Konstrukcje   18 Kwi 2019 12:49 Odpowiedzi: 6    Wyświetleń: 6555
  • Monski Pong na Arduino [Uno] w IDE Processing

    Witam, W tym DIY Konstrukcje chciałbym zaprezentować urządzenie do gry w Monski Pong. Cały projekt składa się z dwóch programów, modułu Arduino Uno i płytki PCB. Do zbudowania tego urządzenia zachęcam wszystkich początkujących jako dobry wstęp do podstaw komunikacji Arduino z komputerem z pomocą portu szeregowego. Cały projekt miał być zbudowany na...

    DIY Konstrukcje   19 Mar 2020 20:59 Odpowiedzi: 3    Wyświetleń: 2853
  • pseudo alarm do samochodu pod centralny zamek

    ..popełniłem kiedyś taki prościutki układzik na mikroprocesorze AT89C2051. z założenia miał to być symulator alarmu - czyli tylko migająca dioda, potem wymagania zaczęły rosnąć, aż stał się "komputerem" ;) ... cały sens jest jednak w ukrytym włączniku... oczywiście w tym przypadku można znaleźć miejsce skąd wziąć z centralnego +12V do zasilania układu...

    Początkujący Elektronicy   05 Kwi 2006 20:27 Odpowiedzi: 2    Wyświetleń: 3549
  • Rowerowy komputer pokładowy

    Witam Chciałbym zaprezentować wszystkim moją skromną konstrukcje o nazwie "Rowerowy komputer pokładowy".Kiedyś pomyślałem, że fanjnie byłoby mieć rejestrator prędkości na rowerze i po wycieczce obejżeć sobie zapis. Ostateczna konstrukcja okazała się bardziej skomplikowana niż początkowo zakładałem :P .Schemat urządzenia wymyśliłem od podstaw, bazowałem...

    DIY Konstrukcje   27 Sie 2006 07:03 Odpowiedzi: 70    Wyświetleń: 35193
  • Potrzebna pomoc przy trawieniu płytek PCB

    Mam nadzieję ;) ale spotkałem się juz z komentarzami beznadziejnymi ;/ których na elce jest bardzo dużo.... ;) i muszę się nauczyć projektować w protelu i eagle ;/

    Początkujący Elektronicy   08 Sty 2016 23:19 Odpowiedzi: 43    Wyświetleń: 6682
  • Lewitron magnetyczny by JS

    Witam!! Chciałem wam przedstawić mój model lewitronu, czyli układu służącego do zaobserwowania zjawiska lewitacji magnetycznej. Układ powstał zainspirowany referatem na temat układów regulacji położenia, jaki dostałem na zajęciach z podstaw automatyki. Długo nie myślałem czy wykonać model czy opierać się na czystej teorii i przedstawić tylko rysunki......

    DIY Konstrukcje   27 Paź 2011 07:34 Odpowiedzi: 45    Wyświetleń: 29169
  • Oprogramowanie do edycji schematów i płytek drukowanych

    Coś czego nie zauważyłem (przyznaje że nie przeczytałem wszystkich) w licznych komentarzach do tematu, to rachunek ekonomiczny. No to racja. Dlatego wybralem Eagle'a gdyz kosztuje jedyne 420€ (bez autoroute'a) ;-). Reszta programów jest po prostu kosmicznie droga!!!

    Programy EDA   31 Sie 2012 09:26 Odpowiedzi: 274    Wyświetleń: 229773
  • DAC na AD1852 - drewno, szkło i metal

    Witam! Chciałbym zaprezentować dac-a drugiego już w mojej "karierze"... Jes zbudowany w oparciu o AD1892 i AD1852. Zaniosłem go do salonu hifi celem zasięgnięcia opini u bardziej osłuchanych ode mnie. Facet podłączył to pod zestaw Accuphase z górnej półki i stwierdził zę jest naprawdę niezły i ma dobrą przestrzeń... normalnie jestem z siebie dumny...

    DIY Konstrukcje   26 Gru 2007 15:12 Odpowiedzi: 41    Wyświetleń: 13155
  • Programy do tworzenia płytek od innej strony

    igawar to nie będzie eagle :) hehe. Bez obaw :). Porównania z innymi programami są potrzebne, żebym mógł wyeliminować błędy w moim programie, tyle że sprawę powiązanych elementów już komentowałem wcześniej, więc przepraszam, jeśli zabrzmiałem trochę niegrzecznie :) w ostatniej wypowiedzi, ale mam wrażenie że teraz każdy kto przeczyta twoją wypowiedź...

    Projektowanie PCB   31 Sie 2007 19:32 Odpowiedzi: 43    Wyświetleń: 4712
  • Miniaturowy alarm - centralka alarmowa z rejestrem zdarzeń

    Witam! Minął pewien czas, od kiedy wystawiłem poprzedni mój projekt; dziś chciałbym pochwalić się najnowszym – prostą centralką alarmową. Układ został zaprojektowany i zbudowany z myślą o zamontowaniu go w mojej piwnicy, ale z pewnością mógłby posłużyć do ochrony innego niewielkiego obiektu. Posiada 3 niezależne wejścia NC/NO (programowo konfigurowane),...

    DIY Konstrukcje   29 Paź 2007 20:56 Odpowiedzi: 49    Wyświetleń: 17163
  • "Triangle Deluxe" -Zaawansowany system oświetlenia

    Triangle Deluxe - Zaawansowany system oświetlenia Przedstawiam mój kolejny bardzo długo zresztą wyczekiwany projekt. Jest to jak dotąd największe z moich przedsięwzięć wykonane zupełnie samodzielnie od początku do końca. Jest też najdroższe gdyż z grubsza licząc kosztowało ponad 1000zł. Wstęp. Było tyle do zrobienia że nawet teraz nie wiem od czego...

    DIY Konstrukcje   30 Lis 2022 22:41 Odpowiedzi: 63    Wyświetleń: 36971
  • Pomiar temperatury, ciśnienia, wilgotności powietrza oświetl

    Niestety nie posiadam takowego. W zasadzie wszystko robiłem "z ręki" bez schematu. Jedynie płytka w eagle (atmega32 smd). Program jest w bascomie ale mało komentarzy, także podejrzewam, że trudno Ci będzie go zrozumieć. Najpierw załatw sobie czujnik, podłącz i spróbuj odczytać. Dopiero jak będziesz to wiedział, bierz się za wyświetlanie wyników. ps...

    Mikrokontrolery   14 Maj 2008 14:08 Odpowiedzi: 40    Wyświetleń: 8434
  • Prosta gierka TV- do sprawdzenia

    Witam, Schemat: Na pewno nie będzie działać. 1) Zasilanie musisz mieć od 3 do 5V, na pewno spalisz układ jeśli do niego podłączysz 9V. Potrzebujesz stabilizatora, np. LM7805 2) Kwarc podłączasz do pinów XTAL1 i XTAL2, a nie do dowolnych innych. 3) Potrzebujesz kondensatora 100nF między VCC i GND. 4) Po co Ci PD0 i PD6 zwarte do masy? 5) Przerysuj ten...

    Projektowanie Układów   28 Wrz 2008 16:54 Odpowiedzi: 52    Wyświetleń: 4767
  • Proszę o sprawdzenie schematu

    Witam. Stawiam pierwsze kroki w dziedzinie układów cyfrowych - chciałbym zmontować jako pierwszy układ przedstawiony na poniższym schemacie. Jednak jako że jest to mój pierwszy (po)twór, prosiłbym bardziej doświadczonych kolegów o sprawdzenie poprawności, komentarze i porady. Schemat powstał w programie EAGLE, na podstawie not katalogowych oraz forum...

    Mikrokontrolery   04 Lis 2008 13:19 Odpowiedzi: 1    Wyświetleń: 1355
  • Pytanie czy dobrze wykonałem schemat? (AT90S2313 i serwa)

    Wielkie dzieki wszystkim za pomoc... skleiłem wszystko do kupy w eagle wyszło mi cos [url=]takiego jeśli ma ktoś ochote może rzucic okiem bo mogłem cos pominąć ;p wszelkie komentarze mile widziane..

    Robotyka Modelarstwo i CNC   28 Lip 2009 19:38 Odpowiedzi: 26    Wyświetleń: 3498
  • "Nie-zwykła" żarówka - czyli RGB

    Projekt, który od dawna chodził mi po głowie… do zwykłej szklanej żarówki upchnąć elektronikę, która robiła by coś ciekawego. Jedyne co mi przyszło do głowy (po za bombą z terometrem) to żarówka płynnie zmieniająca kolory. W skład urządzenia wchodzi przetwornica 230V/5V-500mA, diody led SuperFluxRGB, i sam sterownik oparty o mikrokontroler ATTiny13...

    DIY Konstrukcje   09 Cze 2011 11:44 Odpowiedzi: 22    Wyświetleń: 19089
  • Rejestrator temperatury z obsługą kart SD

    Witam. Chciałbym przedstawić moją nową konstrukcję - rejestrator temperatury z obsługą kart Secure Digital (SD). Projekt powstał oczywiście w celach naukowych. Podstawą układu jest moja płytka testowa z mikrokontrolerem ATMega32. Dołączyłem do niej termometr cyfrowy DS18B20, zegar RTC DS1307 oraz adapter wpinany w gniazdo ISP (Kanda; zamiast LED jest...

    DIY Konstrukcje   18 Maj 2010 20:09 Odpowiedzi: 40    Wyświetleń: 29474
  • Zegar szachowy. Wybór elementów oraz schemat.

    Mam do zrobienia w tym semestrze na projekcie zegar szachowy. Delikatnie mówiąc moja wiedza z elektroniki i mikroprocesorów jest niestety znikoma. Na samych zajęciach co najwyżej programowaliśmy coś w symulatorze, a do poniedziałku mam zrobić szczegółowy schemat elektroniczny projektu. Najpierw muszę jednak zdecydować się na jakieś elementy. Układ nie...

    Początkujący Naprawy   13 Mar 2010 00:27 Odpowiedzi: 0    Wyświetleń: 1440
  • Przedwzmacniacz sterowany cyfrowo z tunerem FM z RDS

    Witam chciałem przedstawić skonstruowany przeze mnie przedwzmacniacz z cyfrowym tunerem posiadającym RDS. Układ jest zbudowany na podstawie projektu z Elektroniki Praktycznej AVT-583. Program do procesora został udostępniony przez autora projektu, Tomasza Jabłońskiego. Jeśli chodzi o budowę: -obudowa jest z zepsutego tunera unitry AS-618 (wersja eksportowa...

    DIY Konstrukcje   25 Maj 2010 16:59 Odpowiedzi: 23    Wyświetleń: 14718
  • Konkursy - wasze pomysły

    Witam ostatnio wpadł mi pomysł na nowy konkurs często spotyka się na forum jak coś zrobić , wstawić jakiś element , zaprojektować , lub wyliczyć w programach wspomagających elektroników i tu jest możliwość stworzenia wideo kursów programów EDA , do tworzenia i testowania układów elektronicznych , projektowania zwrotnic , filtrów aktywnych, robienia...

    Konkursy   14 Paź 2017 09:44 Odpowiedzi: 57    Wyświetleń: 32865
  • Prosty stroboskop LED - ATtiny13 [C]

    Witam wszystkich ! Jakiś czas temu zrobiłem kumplowi na zaliczenie stroboskop na led'ach i zauważyłem, że jest kilka osób zainteresowanych tym małym ale jakże cieszącym urządzeniem więc postanowiłem wam udostępnić. Niestety nie będę się rozpisywał jak co i gdzie bo to prosty układzik w razie jakichkolwiek pytań z chęcią odpowiem. Przepraszam za brak...

    DIY Poczekalnia   08 Cze 2010 00:18 Odpowiedzi: 0    Wyświetleń: 5036
  • [ATTINY85][C] WAV Player - sprawdzenie schematu

    sinuh3, tak sprawdzałem, poprawił 3 połączenia. Light-I, nie próbowałem na pająka, wszystko jest w SMD, więc złożyć to byłoby ciężko. Kurde, zapomniałem o gnieździe ISP :) hotdog, Ok, czyli zmieniam napięcie zasilania na 3.3V. Tak jak pisałem, EAGLE użyłem pierwszy raz w życiu, wszystkiego trzeba się nauczyć, dzięki takim komentarzom, wiem co robie...

    Mikrokontrolery AVR   15 Lut 2011 19:17 Odpowiedzi: 11    Wyświetleń: 3779
  • Moduł zdalnego sterowania centralnym zamkiem VW Golf 3

    Witam serdecznie wszystkich, chciałbym przedstawić moduł zdalnego sterowania centralnym zamkiem, jaki udało mi się wykonać ostatnimi czasy, ale po kolei. Jakiś czas temu zepsuł mi się jedyny pilot, jaki miałem do kupionego kiedyś sterownika centralnego zamka na Alledrogo. Sterownik ten posiadał tzw. wyjście komfort, więc po małych przeróbkach wykorzystałem...

    DIY Konstrukcje   19 Maj 2011 17:28 Odpowiedzi: 21    Wyświetleń: 27972
  • uProg - mały, szybki, przenośny programator AVR z SD

    Jedyny taki przenośny programator układów AVR. Pomoże wszędzie tam, gdzie trzeba zaktualizować oprogramowanie, a układ docelowy znajduje się w trudno dostępnym miejscu i nie mamy możliwości (lub chęci) ciągać ze sobą laptopa i mnóstwa przewodów. Banalnie łatwa obsługa, niski koszt wykonania, super mały, super szybki, obsługuje karty SD... Cechy: -supermały...

    DIY Konstrukcje   15 Sty 2023 09:03 Odpowiedzi: 364    Wyświetleń: 146869
  • Migacz na NE555 - proźba o sprawdzenie płytki i schematu

    Włączyłem moduł ERC. Poza tym, że chyba 2 pin jest do niczego nie podłączony, co wynikało ze schematu, wystarczy nazwać tylko te elementy. Jak widzę nie masz sobie nic do zarzucenia. Tylko w żadnym poradniku (nie tylko do programu "Eagle") nie widziałem tak narysowanego schematu: To co było do zaaprobowania to jest zaznaczone, ale pozostały, jak widzisz...

    Pomoc w PCB   03 Lip 2011 15:36 Odpowiedzi: 3    Wyświetleń: 2129
  • Wskaźnik wysterowania stereo.

    Witam, Chciałbym wam zaprezentować bardzo prosty układ wskaźnika wysterowania na LM3915. Płytkę i schemat zaprojektowałem w Eagle (był to mój pierwszy projekt w tym programie). Nie będę się zbytnio rozpisywał, ponieważ każdy zna sposób działania tego układu. Kosztorys: 2xLM3915=2x6zł 21xLed 5mm=21x0,30zł 2xpot.mon. 10kohm=2x1zł Reszta=0,50zł Suma=20,80zł...

    DIY Poczekalnia   05 Lip 2012 06:07 Odpowiedzi: 7    Wyświetleń: 6625
  • KiCad - dla początkujących w pytaniach i odpowiedziach

    Christophorus: przesyłam ofertę firmy w której chcę zamówić PCB i nie jestem pewien czy moje parametry są ok Wyglądają OK. Dałeś 20 milsów a Prototpy żądają standard minimum 10 milsów. Ja będąc operatorem CAMa w Prototypy zwróciłbym Ci uwagę na ścieżkę VCC i element P13 po lewej stronie. Jest za blisko linii cięcia. Czy rysując płytkę można wstawić...

    Programy EDA   18 Mar 2024 21:51 Odpowiedzi: 3048    Wyświetleń: 462599
  • Prototypowa wytrawiarka z regulatorem Fuzzy Logic

    Witam, Ponieważ nie posiadałam wytrawiarki i miałem dość trawienia PCB w słoiku, postanowiłem zbudować prezentowane urządzenie. Wiem, że sporo tu konstrukcji podobnego typu i zaraz posypią się "komentarze" jak to na tym forum niestety bywa, ale twierdzę iż moja wytrawiarka jest troszkę inna niż wszystkie pozostałe i za chwilkę postaram się to udowodnić......

    DIY Konstrukcje   28 Paź 2012 19:13 Odpowiedzi: 43    Wyświetleń: 17598
  • [Atmega8] - LCD2USB i włączanie komputera na pilota w jednym

    Ok generalnie to sobie poradziłem już dawno temu i na płytce prototypowej złożyłem wszystko według schematu i wszystko cacy działało. Schemat według którego wszystko zaprojektowałem: Potem zaprojektowałem płytkę w Eagle, wytrawiłem, polutowałem i tu już to nie działa jak trzeba. Płytka: Na prototypie było tak, że o trybie działania czy to włącznik,...

    Mikrokontrolery AVR   03 Sty 2013 23:18 Odpowiedzi: 6    Wyświetleń: 3339
  • Załączanie przekaźnika przyciskiem - zasilanie płytki stykowej w moim wykonaniu

    Witam wszystkich, chciałbym zaprezentować, moje wykonanie kontroli przekaźnika przyciskiem monostabilnym. Wiem, że takich konstrukcji nie brakuje i było już ich nie mało, ale moje ma konkretne zastosowanie. Powstało, jak wszystko z potrzeby. Wiem, że istnieje przynajmniej 100 innyc prostszych rozwiązań, ale ja chciałem właśnie tak. Ot takie komplikowanie...

    DIY Poczekalnia   25 Mar 2013 12:37 Odpowiedzi: 0    Wyświetleń: 3282
  • Termometr pokojowy z histerezą na DS18B20

    Oczywiście, że chętnie wrzuciłbym pliki Eagle'a, ale jak pisałem na wstępie. Płytki są z różnych projektów. Zaprojektuje płytkę, to wrzucę pliki jak również nową wersję softu, do której dołożyłem obsługę buzera według logiki kolegi Jacek Rutkowski. Jak tylko skończę płytkę i dopiszę komentarze do programu, tak by każdy wiedział, gdzie się co dzieje,...

    DIY Konstrukcje   14 Cze 2013 21:21 Odpowiedzi: 24    Wyświetleń: 22038
  • Multiplekser audio sterowany przez port USB

    Autor zwykł korzystać naprzemiennie z głośników i słuchawek. Częściej używał jednak tych drugich, ale kiedy chciał uruchomić głośniki, potrzeba było odłączyć słuchawki i przyłączyć głośniki do wyjścia karty dźwiękowej. Autor chciał ten kłopot rozwikłać już od dłuższego czasu, ale żadne rozwiązanie nie przychodziło mu do głowy, dopóki nie zobaczył projektu...

    DIY Zagranica   05 Maj 2013 12:11 Odpowiedzi: 15    Wyświetleń: 30564
  • ATmega16 - Parę pytań (wzmocnienie prądowe wyjście uC/kondensator fil/ładowarka)

    Witam. Jest to mój pierwszy temat, więc mam nadzieję, że nie zrobię jakiejś gafy wbrew regulaminowi. Przechodząc do meritum. Otóż na uczelni mamy do wykonania projekt elektroniczny, a jako, że nie jest to mój konik (zdecydowanie bardziej wolę programować silniki graficzne etc. :D) i wykonuję taki projekt pierwszy raz w życiu(!!), dlatego też zgłaszam...

    Mikrokontrolery AVR   28 Paź 2013 18:35 Odpowiedzi: 12    Wyświetleń: 2064
  • Wielokanałowy termostat,wielopunktowy termometr- dom 'inteligentny inaczej'

    Sterownik włącza/wyłącza do 8 urządzeń grzewczych i chłodniczych. Stan wyjść zależy od: temperatury mierzonej w dowolnej liczbie punktów, wewnętrznego zegara czasu rzeczywistego, programu grzania i chłodzenia przechowywanego w pamięci EEPROM. Architektura sterownika Sterownik jest oparty na mikrokontrolerze AT89C2051 . Układ ten ma 2 kB pamięci flash...

    DIY Smart Home   01 Lip 2015 22:09 Odpowiedzi: 16    Wyświetleń: 12462
  • Wskaźnik wysterowania do PC

    Na początku przed dodaniem negatywnego komentarza proszę o wyrozumiałość ponieważ jest to jeden z moich pierwszych układów,aczkolwiek słowa krytyki też się przydadzą do poprawy niedoskonałości :) Pomysł zrealizowania takiego wskaźnika narodził się już u mnie dawno dawno temu ale niestety brak doświadczenia na to nie pozwolił.Początkowo miał być to...

    DIY Poczekalnia   28 Sty 2014 18:13 Odpowiedzi: 9    Wyświetleń: 5037
  • Mikroprocesorowa Trawiarka PCB

    Witam! Jakiś czas temu rozpocząłem naukę pisania programów na mikrokontrolery AVR w języku C++. Przeczytałem wiele tutoriali, poradników, itp., aż w końcu doszedłem do pisania własnych, prostych programów. Po kilku takich „pseudoprogramach” zdecydowałem, że teraz czas napisać coś bardziej rozbudowanego, a za razem przydatnego ;) Już od...

    DIY Warsztat   29 Gru 2014 14:04 Odpowiedzi: 70    Wyświetleń: 27465
  • arm-none-eabi-gcc - przesunięcia

    Podoba mi się również tłumaczenie wszędzie jest dobrze to musi być dobrze, nawet jak wydaje się być złe. Osobiste przytyki najlepiej opatrzyć nickiem osoby do której je kierujesz, a nie pisać niby-ogólnie. Jeśli Ty lubisz udowadniać, że w kompilatorze nie ma błędu, to fajnie, jednak ja - nauczony doświadczeniem - wiem po prostu, że go tam nie ma i...

    Mikrokontrolery ARM   25 Kwi 2014 18:57 Odpowiedzi: 15    Wyświetleń: 1683
  • Generator funkcji + cyfrowy miernik częstotliwości by Nowakus.

    Witam, po dość długiej przerwie powracam z moimi kolejnymi konstrukcjami. Jako, że ostatnio sprawiłem sobie oscyloskop, potrzebowałem generatora funkcji. I tak powstało pierwsze urządzenie, które chciałbym przedstawić. Jest to generator funkcji z EdW 5/97. Jest to bardzo prosty generator, łatwy i tani w budowie. Nie było żadnych problemów z jego uruchomieniem...

    DIY Konstrukcje   26 Gru 2014 23:13 Odpowiedzi: 10    Wyświetleń: 13830
  • Sterownik ATMEGA32 z modemem GSM

    A ja kolegę pochwale, bardzo fajny projekt i w odniesieniu do twierdzenia, które padło wcześniej: Praktycznie na tym projekcie ćwiczyłem EAGLE oraz programowanie w języku C Wnioskuje, że został tu włożony ogrom pracy, którą oceniam bardzo pozytywnie i wszelkie złośliwe komentarze są nieuzasadnione, ponieważ kolega ciągle się uczy i ćwiczy. Ja czekam...

    DIY Konstrukcje   12 Cze 2015 21:51 Odpowiedzi: 22    Wyświetleń: 11094