No problem jest dziwny a serwis kosztuje krocie nawet nwm jak się nazywa taki wyświetlacz bo może w nim bym pogrzebal może się mama nie znam ale po opisach ż elektrody nie jedną rzecz zrobiłem jak nie da rady to serwis ale to koszt tego roweru niestety. Dziki za info ^^ Dodano po 1 Chodź wyświetlacz to tylko sterownik ż tego co wiem
Cześć, Przerabiam rower Sparty na chiński kontroler i sterownik S866. I mam taki problem wszystko chodzi z wyjątkiem wskazywania poprawnie prędkości. Jest to dość dziwne. Nawet jak bardzo powoli ruszy się pedałami czy manetką gazu tak że koło kręci się bardzo powoli to od razu wskakuje 26 km/h na liczniku ( pomimo że koło ledwo się kręci). Potem jak...
120 MHz spokojnie pójdzie, a jeżeli chcesz mierzyć częstotliwość to to pójdzie na liczniki i bez najmniejszych problemów pójdzie.
Witam, w procesie umieszczam dwa warunki reagujące na narastające zbocze sygnału. trigger ma za zadanie wyzwolic proces który ma tylko raz wykonać kolejne cykle maszyny stanów w tak zegara clk_i [syntax=vhdl]if rising_edge(trigger_i) then next_y := 1; debug(1) <= '1'; end if; if (rising_edge(clk_i)) then[/syntax] Sygnał trigger_i zmienia swój stan...
Ale ma też falownik a on powinien mieć zabezpieczenia tak po stronie AC jak i DC. W ich skład wchodzą zabezpieczenie nadmiarowe, RCD 100mA i ochronniki przepięć. To po stronie AC. Zaś po DC bezpieczniki tolikowe i ochronnik przepięć. W przypadku autora wątku jeśli uszkodzeniu ulegnie kabek łączący falownik z instalacją nie ma żadnej możliwości jego...
/.../Jak to sie dzieje?/.../ cnt niezaleznie od tego, jak duzy jest licznik; tak to po prostu dziala; wiec zmiana cnt marekos ; J.A
Witajcie, Zakupiłem sobie używany rower elektryczny Sparta ION. No i oczywiście dusza majsterkowicza i zacząłem zaglądać co by tu przerobić. Rower działał i miał naładowaną baterię do 80%. Po wyjęciu i włożeniu bezpiecznika wyskoczył błąd E0001. Z tego co udało mi się znaleźć to sygnał o rozładowanej baterii. Na liczniku pokazuje ciągle jedną kreskę...
Skrzynkę z licznikiem, rozdziałem PEN ,przeciągniecie przewodu do rozdzielni robili elektrycy z uprawnieniami i itd co mogli innego zrobić jak w dwupiętrowym domu + podwórko jest instalacja z 67r z różnymi "po drodze" przeróbkami? To niczego nie tłumaczy, ani nie wyjaśnia poza tym, że robota na pewnym odcinku została spartaczona - przejście z 5 żył...
Witam. Problem z baterią zaczął się, gdy wracałem do domu rowerem w deszczu. Na liczniku zasięgu miałem jeszcze około 50% baterii, a nagle wyświetlacz się wyłączył, bateria się rozładowała. Tester poziomu naładowania nie reaguje, a wyświetlacz również nie reaguje po podłączeniu pod ładowarkę. Chciałem zajrzeć do środka, żeby sprawdzić, czy przypadkiem...
Monter mając dwa liczniki zamontował je "na pałę", nie sprawdzając numerów po ich montażu. Sprawa jest prosta; ten, kto zlecał montaż liczników powinien na własny koszt poprawić spartaczony montaż, przez zamianę liczników miejscami. Monter miał skrzynkę opisaną i tak zamontował licznik (musieli to sprawdzić, skoro tak odpowiedzieli, my też sprawdzamy...
Nie dostałam żadnych dokumentòw Ale na zdjeciu widać plombę na liczniku. To dowód na partactwo elektryka który zakładał licznik. (co nietrudno udowodnić.) wynajelam nowego elektryka na swój koszt który sprawdził i zrobił mi ekspertyzę mojej instalacji wszystko było dobrze na co posiadam dokumenty. Z dokumentów powinno wynikać że podłączenie licznika...
Elektryk mi się wysypał, pojechał za granicę, nie odzywa się. Co mam z tym zrobić? Jak pomoże ktoś, jestem w tym blady. W twojej miejscowości jest wielu innych elektryków. Spartaczyłeś pismo do wspólnoty, trudno będzie to "odkręcić". Zwracamy uwagę, że licznik 3-fazowy prawdopodobnie nie zmieści się w szachcie (tak jak obecny). Na montaż nowego licznika...
Niestety dalej nie działa jesli na symulatorze widzisz poprawna transmisje bitow, to procz 'oczywistych oczywistosci' jak uszkodzony ltc lub brak jakiegos polaczenia, przyczyna moze byc tez taka, ze chyba zaczynasz slac dane zaraz po wlaczeniu pradu, moze ltc potrzebuje paru milisekund by sie przygotowaC ? nie ma takiej informacji w data sheet przetwornika...
PE nie jest podłączony tam gdzie powinien. Lipa z instalacją jackgrandvoyager. Co jest (ew. było) spartaczone nie wiadomo.
ja bym to zrobil tak: [w kodzie sa komentarze, ktory byc moze cos wyjasnia] [syntax=verilog] module dekada (CLK, RST, CE, SET, prst1, prst2, prst3, prst4, Q0, Q1, Q2, Q3, zero); input CLK, RST, CE, SET; input [3:0] prst1; // input [2:0] prst2; // chyba typo input [3:0] prst2; input [3:0] prst3; input [3:0] prst4; output [3:0] Q0; output [3:0] Q1; output...
Bateria nie raz była wyjmowana z roweru i nic się takiego nie działo. Ale jeśli tak trzeba to jak to zrobić. Jak mam parować akumulator po każdym ładowaniu go w domu. Żeby było łatwiej przesyłam zdjęcia baterii i licznika. https://obrazki.elektroda.pl/1322983900_... https://obrazki.elektroda.pl/6950803700_... Dodano...
Witam. Rower kupiony na aukcji , wyświetla brak kontaktu z elektroniką silnika ( e0014 ) .Akumulator naładowany ,światła świecą, licznik-prędkościomierz działa tylko ten błąd . Czy jest jakaś możliwość resetu i ponownego zarejestrowania sterownika poza odległym i drogim serwisem ?
a nie ma to coś wspólnego z nowym ładem? słyszałem, że miał skutkować dużymi podwyżkami prądu. Podwyżkami cen energii (zł/kWh), ale nie ilości jej zużycia (kWh). Jak pisano wyżej - zużycie 4 kWh przez 6,5 miesiąca nie jest realne w użytkowanym mieszkaniu, nawet przy ekstremalnie oszczędnym, wręcz spartańskim korzystaniu z energii. 30.08 - 10.02 = 201...
Witam. Po pierwsze - dzieki za zainteresowanie :) Racja - w kodzie, ktory napisalem jest blad, po prostu w drugim ifie ma byc RAM_CLK - sorry za zamieszanie. Moze opisze nieco jasniej do czego ten uklad ma byc. Chodzi o to, ze chce zrobic szeregowe programowanie pamieci w taki sposob, ze mamy 3 linie: dane, clock i cos w rodzalu write_enable. Układ...
Witam, Jestem w trakcie tworzenia projektu stopera w VHDL’u na płytce FPGA Xilinx Spartan2 XC2S200 jednak utknąłem w pewnym miejscu i pomimo przeszukiwania forum nie mogę znaleźć rozwiązania. Mianowicie mój problem polega na zaprogramowaniu guzików stopera. Stoper został sprawdzony w laboratorium i działa prawidłowo na switchach jednak problem...
Nie ma zielonego pojęcia jak się do tego zabrać. Tak naprawdę potrzebna jest nowa rozdzielnica. Sam tego kolega nie zrobi. Autorze tematu ! To 3-fazy, jak spartaczysz wykonanie, to popalisz telewizory i inne odbiorniki. Techniki wykonania należy uczyć się jako pomocnik elektryka.
co jest zle z 'button' sprobuje sprawdzic pozniej, jak bede mial wiecej czasu; ale na szybko napisalem taki 'kompakt' kod, ktory zapala po kolei diody w szeregu; nie sprawdzone, moglem cos zle napisac, ale idea jest chyba jasna; module led2 ( input clk, output [7:0] led_line ); reg [4:0] cnt; reg [7:0] led...
Heh :P Tyle to ja już wiem :] Chodzi mi o to, do której łatwiej się dobrać, oraz jak to zrobić, czytaj gdzie znajdę jakiś przykład-tutorilal-instrukcję... bierz intela, ma rownolegly interfejs wiec nie powinno byc problemow. tylko nie pisz ze nie wiesz jak bo wstyd.
Nie wiemy czy autor wątku te prace zlecał. Być może chodzi tu o budynek wielorodzinny i umowę zawierał zarządcza obiektu. Nie wiemy czy prace zostały zakończone. Wiemy, że robota została spartaczona w sposób zagrażający życiu. Proponuję poinformować wykonawcę (jeśli jest taka możliwość), że doszło do ciężkiego porażenia i ofiara wylądowała w szpitalu....
Jeśli zmieniłeś tylko odpowiednie komórki kodu bądz licznika błędu to spoko i zrobiłes to jak najbardziej prawidłowo. Jesli podstawiłeś całkowicie inny bin to spartaczyłeś. Nie wiem co masz na mysli pod slowem przeprogramowanie. Radio chodzi wiec nie ma się juz co rozczulać.
https://obrazki.elektroda.pl/7782864000_... Czy teraz jest to poprawne? I co ci tu daje N na ochronniku w tym przypadku :?: nic. Jaka jest odległość od licznika do domowej rozdzielnicy też nie podałeś. około 25m Ochronnik 4xDEHN quard275 lub Ogranicznik przepięć B+C 4P SPN901 HAGER czy lepiej LEGRAND(412277)- czyli mogę zastosować...
Dzieki. Zrobilem juz kilka zalozen: 1) Sterownik obsluguje 32 linie po 32 znaki każda 2) Kazdy znak reprezentowany jest za pomocą 8x8 bit mapy 3) Czyli jest 1024 znakow 4) Pamiec RAM ekranu zawiera zatem 1024 komorki - 10bitowe adresy Mam już zestaw liczników odświeżających ekran: 1) 2 liczniki 5bitowe - generują one adres każdego znaku 2) 1 licznik...
"Licznik serwisowy" jak go nazywasz ma po zliczeniu ustawionej ilości wydrukowanych stron (dla tego modelu wynosi 200 tys.) wezwać serwis na maitenance i nie bardzo widzę związek tego błedu z ustawieniami licznika od maitenance'u. Jest to bład firmware'u drukarki. Jesli masz ją uzbrojoną w hdd, jetdirecta lub dodatkową pamięć - odłącz te urządzenia...
Witam Moje zdanie w tej sprawie : W związku z powyższym chciałem się dowiedzieć czy normalną sprawą jest przepalanie bezpieczników przedlicznikowych i całkowity brak reakcji bezpieczników znajdujących się w mieszkaniu? Jest to jak najbardziej NIE NORMALNA sytuacja. Istnieje pojęcie selektywności czyli w prostych słowa ujmując: wybija bezpiecznik nabliższy...
Cześć! Potrzebujesz dwóch dłuugich liczników zliczających impulsy zegara (jeśli masz kilka sygnałów zegarowych to korzystaj z najwolniejszego, bo wtedy licznik będzie mniejszy). Sygnał zegarowy dajesz na przełącznik, który będzie go przekazywał albo na zegar gracza1 lub zegar gracza2. Pozdrawiam! Alfrdnovi
poszukaj i przestudiuj przyklady licznikow w vhdl, bo twoj kod - procz bledu "rising_edge" jest, powiedzmy, brzydki; nie jestem pewien czy dobrze cie rozumiem, ale to co masz w pliku ucf, konkretnie definicje okresu zegara, ma znaczenie tylko do analizy czasowej, nie definiuje faktycznej czestotliwosci; zapewne masz jakas plytke ewaluacyjna i na niej...
Witam, Jak doprowadzić w Spartanie 6 wejście differential clock capable do 4 PLL z wykorzystaniem buforów globalnych. Chodzi o minimalizację jittera między PLL. Ewentualnie jak najlepiej wygenerować 24 fazy zegara w Spartanie 6. Następnie sygnały z PLL po liniach nie buforowanych idą do liczników (co nie stanowi problemu). Wg. mnie max częstotliwość...
Na początku symulacji rejestry maja wartość 'X', czyli nie wiadomo jaki jest tam stan logiczny. Operacje z użyciem nieznanych wartości zwracają nieznane wartości - dlatego cały czas masz same 'X'. Dlatego musisz przypisać wartość początkową rejestrów poprzez dodanie obsługi resetu. [syntax=verilog] always (at)(posedge clk or posedge rst) begin if(rst)...
Witam Znalazłem w miesięczniku Elektor 1/1993 opis metody pomiaru częstotliwości na zasadzie odwróconego zliczania impulsów. Polega to na tym, że w zadanym czasie bramkowania mierzymy jednocześnie częstotliwość wzorcową i mierzoną. Następnie obliczamy fwe przez podzielenie stanów dwóch liczników a następnie pomnożenie rezultatu przez częstotliwość wzorcową....
czyli powinno być: [...] process(reset,enable,clock) begin if reset='1' then sCV <= "0000000000000000"; elsif rising_edge(CLOCK) then if enable='1' then sCV<=sCV + 1; end if; end if; end process; jeżeli licznik ma zliczać zbocza narastające zegara...
Nie wprowadzi każdy, tylko ostatni, o ile to będzie licznik synchroniczny, a innego chyba nawet się nie da zrobić. Niet. Opóźnienie będzie równe najdłuższej ścieżce krytycznej. Jeśli jest to dzielnik 2^N, gdzie składa się z samych przerzutników, najdłuższe opóźnienie będzie wynikało zazwyczaj z odległości sąsiadujących bloków CLB, jeśli to licznik...
Ale sam pomysł na multipleksację jest dobry?? Oczywiście poprawiając ten błąd z zerowaniem i z zachowaniem Twoich wskazówek dotyczących zwykłego licznika? PS: Masz rację, zaczynałem od języków programowania. Dopiero od niedawna wziąłem się za VHDL-a :) PS2: Zamieszczam plik z poprawkami?? Czy teraz lepiej ??:) Pozdro, jksabre:)
Dziękuję za zainteresowanie! (at)DeDua Jeśli chodzi o licznik to nie wiem czy dobrze interpretuje to rozwiązanie. Taki licznik musi być czymś taktowany. Zegar takiego licznika (coś musi napędzać maszynę stanów) powinien być większy niż zegar który jest używany (100MHz) bo inaczej nie uzyskam większej rozdzielczości zegara niż 10ns. Generalnie dziękuję...
Ma to działać tak: /.../ zrob moze tak: doloz rejestry na aktualny stan licznika, w bloku always taktowanym zegarem aktywny sygnal EN przepisuje wartosc licznika do rejestru i jednoczesnie zeruje licznik; mniej wiecej tak reg [2:0] rejestry; always (at)(posedge clk) if ( EN ) begin rejestry <= cnt; cnt <= 3'b0; end...
Jeszcze mam pytanie przy okazji zegara: czy jeśli wytworzę sobie jakiś sygnał np. z licznika i będę chciał wrzucić go na linię zegarową to wystarczy do wyjścia owego licznika podłączyć BUFG? Linii zegarowych jest kilka sztuk, zależności od układu. Jeśli podasz taki zegar (dość brzydko) z licznika na przerzutnik, syntezer XST odpowiednio to wykryje...
Należało napisać dzielnik częstotliwości. Zmiana wysokości dźwięku faktycznie była skutkiem kluczowania sygnałem prostokątnym. W programie każdemu przyciskowi przypisałem zmienne (inty) o odpowiednich wartościach. Licznik zliczał do tych wartości i przy przekręcaniu się wypuszczał jedynkę.
Ja miałem też problem z licznikiem bo nie nabijał kilometrów i zrobiłem go trochę w spartański sposób. Mianowicie wstawiłem trybiki od mechanizmu ręcznego przesuwania kilometrów. Trybiki trochę luźno chodziły to dałem trochę cyny a potem superglue i jak narazie nabija kilometry tylko znów teraz uszkodziłem sprężynkę powrotu wskazówki od prędkości i...
Teoretycznie masz rację. W praktyce rzadko się zdarza że ktoś chce takie dodatkowe zabezpieczenie, i nie uważam ze instalacja bez niego jest spartaczona. Sam mam zabezpieczenia przedlicznikowe 3x C25A za licznikiem jest na każdej fazie po 4 B16A i po 2 B10 i jeszcze nie zdarzyło mi się obciążyć tak aby wyleciało c25A (chyba że naprawiając coś uda mi...
Nie koniecznie tak. Niektóre instalację są tak spartaczone, lub przeciążone, że obecne zabezpieczenia już są nieprawidłowe. Teraz robiąc przygotowanie pod nowe "wewnętrzne" źródło zasilania, należy prawidłowo zweryfikować obecną instalację. Za pewne większość będziesz chciał konsumować na własne potrzeby, ale trzeba liczyć się z tym, że wystąpią okresy,...
Robie tak jak podpowiadasz ale ciągle mi nadpisuje. Dołączam zrzut programu, może to coś pomoże. ----------------------------------------... ----------------------------------------... library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL;...
Tak wszystko się zgadza dodatkowo choć za to już głowy nie dam układ jest zasilany zewnętrznie pod pinem E8, czyżbyś też miał okazję studiować na pwr ? Na razie czytam jakie są zależności czasowe dla resetu i jutro chyba spróbuję to napisać. Na razie wyobrażam to sobie mniej więcej tak. Spartan ma zegar 50 mhz czyli sygnał clk co mikrosekundę, według...
Posiadam układ zl9pld wraz z zl10pld. Próbowałem go wykorzystać jako odbiornik rs 232. Napisałem własny moduł i działa, ale źle ;D - tzn. odbiera inne dane niż bym oczekiwał. Ściągnąłem gotowy moduł (gdzieś tutaj na forum ktoś wrzucił) i problem jest identyczny. Nawet identyczne błędy są. Na pierwszy rzut oka może się wydawać, że to wina ucf'a lub ustawień...
Zmienna delay nie jest zwiekszana o 10 cykli. Licznikiem odpowidajacym za sterowanie rejestrem zawierajacym bity do wyslania steruje zmienna flaga. Tak wiec jesli flaga ustawiona jest na 0 to bity nie sa wysylane a w miejsce tego odliczane sa cykle rownowazne z zalozonym opozneiniem(m.in. widac to na symulacjach czasowych jakie zrobilem w ahdl, ktorych...
osobiście bym rozwiązał to za pomocą tablicy prawdy ale może są jakieś lepsze rozwiązania o których nie wiem ?? tablica prawdy to wprawka dla studentow uczacych sie podstaw elektroniki cyfrowej; - musisz dokladnie zrozumiec/przemyslec algorytm, jaki chcesz zrealizowac - poznac przynajmniej podstawy jezyka, w ktorym chcesz opisac uklad; aby zrealizowac...
Potrzebuje w Spartanie wprowadzić opóźnienie sygnału rzędu dziesiątek ns. Chodzi mi o opóźnienie zegara do danych. /.../ poszukaj w manualu ise "synthesis directive" - za pomoca dyrektyw syntezy mozna 'uchronic' bramki, ktore program uzna za zbedne przed usunieciem; ale to chyba nie jest najlepszy sposob, chcesz opoznienie kilkadziesiat nanosekund,...
sygnal clk, jesli dobrze zrozumialem tworzysz zegar dwojka liczaca i a potem tymze zegarem clockujesz drugi modul, tak?? Rozumiem, że mówisz o tym jak tworzony jest sygnał clk. Niestety nie znam innego sposobu na dzielnik częstotliwości jak tylko licznik, który zlicza do połowy zadanej częstotliwości, a potem zmienia sygnał clk na not clk. Ten moduł...
Cześć, Dopiero się uczę VHDL i mam do zrobienia zadanie http://www.ue.eti.pg.gda.pl/fpgalab/zada... Nie wiem czy dobrze. Proszę o pomoc przy korekcie jak coś jest nie tak. --########## DZIELNIK CZĘSTOTLIWOŚCI ########################################... library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.std_logic_arith.all;...
A ja uważam, że projekt jest ciekawy :). Jeśli chodzi o pomiar, to masz do wyboru 2 możliwości. Pierwszą opisał mój poprzednik; ja bym dodał ze swojej strony jeszcze termometry z interfejsem SPI - interfejs ten jest znacznie łatwiejszy do zaimplementowania. Drugie wyjście to użycie analogowego czujnika oraz jakiegoś przetwornika A/D (najwygodniej chyba...
No felicję z czystym sumieniem mogę polecić. Mam już ładnych parę lat, i prócz tego co trzeba nic więcej się nie wymienia :D Chyba żartujesz?? Komfort jazdy Megane 1 a Felicią to 10 lat w przód dla Megana. Felicja to puszka wewnątrz, wnętrze spartańskie, może konkurować najwyżej z Polonezem. Co do awaryjności aut używanych to zależy jak był eksploatowany...
Kolego dyskusja z tobą to jak walenie głową w beton :D , ale już się do tego przyzwyczaiłem :D. Często w różnych tematach piszę że różne ZE mają swoje wewnętrzne przepisy i nic na to nie poradzisz, jeżeli w Krakowie można montować więcej to bardzo dobrze i nie rozumiem problemu. dajesz w skrzynce licznikowej dwa Fr i po problemie. Jeżeli nie można to...
na pewno sprawdzę to na oscyloskopie jeżeli będę mieć okazję ponieważ sam niestety nie mam Spartana na którym próbuję ten projekt uruchomić. Wracając do pierwotnego zagadnienia z tego tematu, rozwiązałem problem testbencha, choć nie wiem czy tak może być (wyniki mam dobre): [syntax=verilog] `timescale 1ns / 1ps module licznik_tb(); reg WE_licz; reg...
Witam wszystkich. Mam taki problem. Pewna firma, która wykonywała mi remont budynku gospodarczego z garażem oszukała mnie i zostawiła nieskończoną, spartaczoną (częściowo w ogóle niewykonaną) elektrykę. No i oczywiście jestem bez siana a muszę budynek "uruchomić". Budynek to parter (garaż) gdzie poprowadzone są już przewody YDYP 3x1,5mm2 zarówno do...
constant key_1 :konkretnie jaki typ danych tu ma być:= H"69"; zależy jakich bibliotek używasz, przy standardowych to najlepiej: constant key_1 :std_logic_vector := x"ff"; Jeśli masz projekt na spartanie to możesz wykorzystać sprzętowego dcm z cyfrową petlą dll do generacji niższych częstotliwości. Dzięki temu zaoszczędzisz na zasobach ;)
Nie wiem dlaczego wychodzisz z założenia, że nie mam pojęcia o instalacjach elektrycznych ? A dlatego. Instalację wykonał mi "fachowiec" i wyszło tak, że teraz w zasadzie nikt nie wie dlaczego moja instalacja zachowuje się tak, jak opisałem. Nie jest to pierwszy fachowiec, po którym trzeba coś poprawiać. Skończyłem studia na kierunku elektrotechnika,...
Dzień dobry. Chciałbym zasięgnąć u Was, kolegów specjalistów, porady nt. poprawności poniższego schematu instalacji. Mieszkam sobie w kawalerce 20m^2, mieszkanko chciałbym podzielić na trzy obwody, tak jak miało to miejsce do tej pory (obecna instalacja jest spartaczona, nie wszędzie wszystkie kable są popodłączane-PE): Łazienka - 2 gniazdka (bojler...
Kiedyś, kiedyś w Elektronice Praktycznej opisany był procesor (w FPGA) o dwu instrukcjach, były to chyba warunkowe ADD r1,r2,r3, oraz NAND r1,r2,r3. Teoria mówi, że wystarczy tylko jedna. Pamięta ktoś, jaka? Programowanie przypomina pewnie BrainFuck'a, ale układ powinien być zadziwiająco mały. Ciekawe w ilu drobnych TTL'ach by to się dało zamknąć? Albo...
Polak wszystko potrafi zrobić ale taka nasza mentalność że najpierw robimy a potem czytam co śmy żle zrobili. Widzisz wgrałeś jakiś wsad do pamięci i byłeś uradowany że radio zacznie śmigać a tu zoonk. Wziołes skąś wsad i nawet nie sprawdziłeś jaki jest stan licznika błędów. Przy okazji podstawienia nowego wsadziku spartaczyłeś radio bo pamięć to nie...
Witam , ogólnie pierwszy etap budowy tej mojej szalonej instalacji uważam za zakończony . Frustruje mnie jeszcze, że domu nie podpiąłem , zgodę zaplombowania licznika załatwiłem , nawet firmę tez załatwiłem , a teraz czekam aż zrobią proceder odłączenia mnie od słupa na czas wykonania pracy , licznik będzie teraz gdzie mam przyłącze kablem ziemnym do...
.... Wprawdzie PEN utraciłby ciągłość, ale natychmiast została by ona "zastąpiona" przez uziemienie GSW i przez punkt podziału PEN w rozdzielni głównej budynku. Chociaż mogła trwać długo, może nawet do wymiany licznika. Czyżby kolega uważał, że taki stan byłby prawidłowy? Czy GSW ma być panaceum na partactwo? A co by się stało, gdyby przyszedł pomiarowiec...
To nie będzie trudne. ogólny algorytm będzie jakoś tak: 1. wykryć zbocze SV i wyzerować licznik linii 2. wykrywać zbocza SH i inkrementować licznik linii 3. gdy licznik linii jest w przedziale 0-vfp (vfp - vertical front porch) generować "ciemność" 4. gdy licznik linii przekroczy vfp to sa to "linie z obrazem" 5. gdy licznik linii przekroczy vfp+lnum...
Witam. Znajomy zatrudnił do wykonania instalacji w nowo wybudowanym domku jednorodzinnym firmę, która obiecała wykonać "najtaniej". Bez faktury, bez żadnych papierów z pominięciem istniejącego projektu, czyli wykonanie według własnego "widzi mi się". Wczoraj zobaczyłem owoce pracy tej firmy i włos się dosłownie na głowie jeży. Tak spartaczonej instalacji...
Pomyliłem się : 10000= F1/(F1-F2) W poniższym patencie jest opisane jak dzielić częstotliwość zegara dowolnym współczynnikiem Dodano po 6 Jeśli jedna częstotliwość jest 50MHz, to druga 49,99MHz czyli minimalnie mniejsza. Pozostała logika jest taka sama dla obu zegarów. Ten scalak ICS8430BI-71 (firmy ITD) sporo kosztuje (15$) x 2 plus wysyłka (pewnie...
https://obrazki.elektroda.pl/9335071700_... Dzień dobry, Dziś chciał bym zaprezentować bardzo przydatne urządzenie, które doceni każdy właściciel podmakającej piwnicy. Moja niestety jest regularnie kilka razy w roku zalewana nawet do wysokości pół metra, co zmusiło mnie do zaprojektowania tego prostego, ale i dość sprytnego urządzenia....
(at)yego666 ~10fps pełnej treści pstryknij jakieś fotki, każdy chętnie zobaczy, nawet wersje "alpha" ścigać sie nie ścigam, po prostu podkusiłeś mnie z tymi 4ma szarościami, siadłem, zrobiłem i sie pochwaliłem :) też sobie tak marze o tym dramie ale coś mi sie zdaje że cpld to nie wystarczy w moim przypadku, myślałem żeby użyć np. spartana ale jakoś...
Zdjęcie sugeruje, że jest to układ TN-C, ale może to być także spartaczone TT, dlatego najlepiej zapytać w ZE. Jeśli od licznika odchodzi 5-przewodów włącznie z żółto-zielonym PE, to rzeczywiście ma kolega najprawdopodobniej do czynienia z przejściem w złączu na układ TN-C-S z uziemionym w złączu punktem rozdziału. Jeśli nie, rozdziału PEN na PE i N...
Trudno Ci będzie zrozumieć moje bezstronne podejście. Jesteś jednym z użytkowników który "za swoje" chce płacić jak najmniej. Z tego względu nie uwzględniasz strat ciepła przez "nie Twój" dach. Ja liczę to inaczej. Z mojego punktu dach izoluje termicznie także Ciebie. On nie tylko chroni przed opadami deszczu i śniegu (do czego nie składasz zastrzeżeń...
Po co do tego mieszać jakiegoś if ... then ... end if; , można zrobić to w opisie w części sekwencyjnej. Opis po architecture ... of .. is begin wykonuje się równolegle (współbieżnie). Między process () ... end process; , wszystko wykonuje się sekwencyjnie (czyli po kolei), jeśli jest zależne od zegara to synchronicznie. library IEEE; use IEEE.STD_LOGIC_1164.ALL;...
a) www.digilentinc.com, myślę że razem ze sprowadzeniem, to jakieś 300-400 zł, w zależności od układu, b) na mój gust nie da się tego porównać, bo procesory różnią się całkowicie od matryc i budową i zasadą działania, procesor wykonuje jakiś zapisany w pamięci kod, a przez matrycę sygnały płyną i ona je przetwarza, tak przynajmniej aj to czuję, trzeba...
Problem w tym, że mam mam programator Siemens PG 720 P, program S5 ver. 7.02 (niemiecka wersja) ale nie potrafię tego obsłużyć. Angielska wersja leży gdzieś na elektrodzie. Ja używam 6.6 i mam możliwość wyboru języka podczas staeru programu. Jest niemiecki, francuski, angielski, włoski i hiszpański. Może Ty też masz taką możliwość, tylko o niej nie...
Witam !!! Mam problem z wykorzystaniem Timer/Counter a konkretnie to z licznikiem. Chce zrobić na Microblaz-ie pomiar częstotliwości. Częstotliwość będzie "podłączana" z zewnątrz w zakresie od 0 Hz do 120MHz przy czasie bramkowania 1s . Na razie opracowałem obsługę wyświetlacza 2x16 na którym jest wyświetlany wynik oraz skorzystałem z timera na tyle...
Dzięki za odpowiedź. Soft już ściągnąłem. Brakuje mi co prawda jakiegoś symulatora ale pewnie po prostu go jeszcze nie znalazłem. http://obrazki.elektroda.pl/7587007800_1... Potrzebny Ci jest testbench, czyli zwykły plik *.v (verilog) czy *.vhd (VHDL) z sygnałami pobudzającymi Twój układ. Następnie zaznaczasz tb i kilkasz Check Syntax...
Na mojej linii na tym samym transformatorze na jednej z 3 gałęzi jest 10 instalacji. Praktycznie każda po 10KW Napięcie przez to tak skacze ponieważ jest tego za dużo. Zdjęcie było robione o godzinie 22:00 Jak słońce zajdzie na wyłączonej instalacji jest spadek z 250V na 230V w południe. Przewód do licznika 10mm2 Od licznika do inwertera tak samo....
Kolego. Wziąłeś sobie partacza elektryka to zrobił Ci jak zrobił. Więc do kogo masz jakieś żale i głupie pytania?. Elektryk który Ci tą niby instalację robił nie miał prawa samemu licznika zaplombować. Nie ma takiej możliwości by przewód żz wychodził z licznika . Tak przy okazji, to gdzie kolega kupował gniazda 1f bo te dziesięcio i dwudziesto Amperowe...
Mister_one wiem ze oprocz tego altium Designera jest wymagany Ise web pack Xilinxa (gdyz uzywam ukladu spartan 2E). Kiedy robilem test na liczniku Johnsona (example) wszystko poszlo ok. Czy wstawiajac uklad w oparciu o sam kod vhdl potrzebny jest projekt FPGA i wówczas dołaczam sam kod vhdl plus plik constrains? Czy to wystarczy aby uklad Spartan sie...
DjExit: Jeszcze prościej, to pomyśl o FPGA lub CPLD jak o takiej płytce prototypowej na której możesz wrzucić mnóstwo zwykłych elementów z techniki cyfrowej (bramki, przerzutniki, liczniki, rejestry, itd.), tyle tylko, że nie łączysz ich wszystkich fizycznie kabelkami a jedynie virtualnie przy pomocy odpowiedniego oprogramowania (dosłownie, są programy...
Witam. Uczę się programować układy w Altium Designer 09 summer. Zrobiłem taki prosty licznik Johnsona póki co. Cały licznik chciałem żeby był jako komponent. Stworzyłem własny komponent, wszystko się kompiluje itd ale jak chcę to wgrać na układ to przy "Translate Design" wyskakuje mi taki błąd: ERROR:NgdBuild:604 - logical block 'U1' with type 'Simple_Counter'...
Witam, przepisałem moduł od nowa wyrzucając wykrywanie przekroczenia wartości próbek do schematu. Poniżej kod który działa na Spartanie 3 AN 700 nawet przy zegarze 50 MHz. U mnie będzie pracował przy 10 MHz, więc mam nadzieję że tym bardziej będzie dobrze liczył. ----------------------------------------... -- Company:...
No hej! Dzięki za zainteresowanie... Więc, programuję kość Spartan 3 xc3s200. Co do kwestii kodu, to wyjaśnię może od początku. Minimoduł firmy Propox, nad którym walczę ma na pokładzie kość flash - wyżej wymienioną oraz kość ram - z której nie korzystam. Ram i flash połączone są ze sprartanem magistralą 16 bitową, z której 8 bitów jest wyprowadzone...
Yo !!!!!!!! ?????? ( nie wiem co to, ale niech bedzie :) Skoro masz uzyc Spartan II , to najprosciej bedzie chyba wykonac modul VGA. Ta kostka ma w sobie wszystko co Ci bedzie potrzebne, a wiec logike, Ram i porty I/O. RAM bedzie Ci potrzebny do bufora znakow do wyswietlenia i do skladowania zawartosci generatora znakow. Logike uzyjesz do sterowania...
Witam, w ostatnim czasie zmodernizowałem komputer bardziej pod gry. Wymieniłem grafikę, zasilanie i chłodzenie Podzespoły: CPU AMD FX-6300 3.5gh GPU MSI Geforce 1050 OC 2GB Zasilanie Corsair 550W Chłodzenie Spartan 3 Ram GeIL 8GB single channel Twardy 1TB Problem pokaże na przykładzie Counter Strike. Podczas gry licznik klatek pokazuje ok.150-200 FPS....
Implementowałeś juz jakiś licznik w CPLD i robileś pomiary do jakiej częstotliwości chodzi poprawnie? Jakieś dwa lata temu zaimplementowalem fragment [url=http://w3ref.cfn.ist.utl.pt/cupido/... w VHDL. Wstepnie było to odpalane na płycie ze Spartan 3, docelowo miało powędrować do CPLD. Cieżko mi szła ta robota bo bylem wtedy za głupi...
witam, szczerze mówiąc to wybrałeś chyba najmniej efektywną metodą do odtworzenia kształtu sinusoidy. Jeśli przechowujesz próbki sygnału w kodzie (a tak wynika z kodu) to syntezer wpakuje to bezpośrednio do ROMu układu programowalnego, co pożre masę LUTów i zasobów logicznych. Lepszym wyjściem jest zaimplementowanie pamięci zewnętrznej lub BlockRAM...
Jest kilka wiodących producentów układów logiki programowalnej. Układów jest zatrzęsienie i łatwo się w tym pogubić. Rzeczywiście, Lattice jest jednym z większych producentów i specjalizuje się w małych i energooszczędnych układach, niemniej jednak, większość rynku posiadają firmy Intel i Xilinx. Ich układy FPGA są najpopularniejsze, dlatego też proponowałbym...
hmmm, w najprościej będzie na kodzie do Atmegi: int main() { //char d Dodano po 3 hmmm... trochę mnie to już przerasta... - sprawdziłem zasilanie, umasienie - sprawdziłem przejścia na ścieżkach - wszystkie sygnały w tym zegarek, i DIN dochdzą do spartana - zmieniłem kolejność wysyłanych bitów, orientacje bajtów (taki ciąg synchronizacyjny jak mi podałes...
Taka. a nie inna jak na Spartan-3 niska częstotliwość Twojego algorytmu wyniku z "programistycznego" podejścia do sprawy. Pisanie dziwnych warunków i tworzenie nie wiadomo jakiej logiki kombinacyjnej. Jeśli duże 32-bitowe soft-procesory można rozbujać do 100MHz, to coś nie tego ten Twój algorytm (bo nie zakładam nie wiadomo czego), większości wypadków...
http://www.ronaldo_poznan.user.icpnet.pl... Witam! Postanowiłem pochwalić się na tym forum, czym od ponad roku się zajmuję... Kończę informatykę na UAM Poznań, a ponieważ moim hobby jest elektronika oraz motoryzacja, jako temat pracy magisterskiej wymyśliłem sobie, że wykonam cyfrową deskę rozdzielczą do samochodu. Tematów komputerów...
Teraz pytanie czy zabezpiecza matryce przed wolno naplywajacymi danymi (tu wlasnie tajemnicza kreska prz min CLK). A niby na jakiej podstawie ? To jest zwykła logika synchroniczna-sekwencyjna napędzana jednym zegarem. Maksymalna częstotliwość jest podawana dla układu cyfrowego (czyli tego timming controller , który zawiera proste liczniki), dla którego...
Witam, Zamieszczony tutaj opis powstał po wielu długich, nieprzespanych nocach ;) i znajdował się pierwotnie na mojej stronie www.bikerk6.net, niestety ze względu na pewne problemy z hostingiem i brak czasu strona nie będzie działać do odwołania, więc postanowiłem umieścić ten opis tutaj, mając nadzieję że zawarte w nim informacje będą przydatne szerszemu...
http://obrazki.elektroda.pl/5144790300_1... Przedstawiam Wam swoje najnowsze dzieło - KrzysioCart MicroSD - kardridż do konsoli Pegasus, który będzie ostatnim, jaki kupisz - żaden inny już nie będzie potrzebny. Kardridż obsługuję 82% wszystkich gier, jakie wyszły na konsolę NES / Famicom / Pegasus. Obsługiwane są następujące mappery...
Od wczoraj mój :) magnetofon MDS440 (wersja eksportowa MacWatts)- mam nadzieję że to jest taki sam mechanizm jak w MDS442- odtwarza, ale nie chce przewijać ani w jedną, ani w drugą stronę- ewidentnie wina pasków :) Tylko problem jest w tym, że nie chcę w nim bez żadnego przygotowania rozbierać mechanizmu by nic nie napsuć. Jedyne co mi się udało wykoncypować...
Dzień dobry. Proszę o sprawdzenie schematów SIM908, gpsa PA6H i rtc DS1337S. W gruncie rzeczy to są to przerysowane schematy z datashetów z lekkimi modyfikacjami ale, że nie czuje się mocny w tej dziedzinie więc proszę kogoś mądrzejszego o sprawdzenie. Generalnie czy z tranzystorami nie spartaczyłem sprawy i są odpowiednie. Zasilanie 3,3v tam gdzie...
Witam, mam mały problem związany z licznikiem energii oraz przepływu powietrza a mianowicie czy będzie ktoś tak miły i zerknie na moje wypociny (ewentualnie poprawi jeśli coś spartaczyłem). Jeśli PLC jest dobrze napisane kolejnym problemem jest podpięcie odpowiednich DB do wizualizacji (pola output oraz wykresy słupkowe) Które adresy i gdzie je podpiąć...
Prosze o sprawdzenie i opinie o zaprojektowanym przezemnie nadajniku IrDA!!! Za wszelkie uwagi i wytkniete błedy wielkie dzieki:) pozdrawiam:) -- REJESTR SZEREGOWO RÓWNOLEGŁY -- moduł NADAJNIK -- PRZESYŁANIE DANYCH Z KLAWIATURY PS library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_UNSIGNED.all; entity Rejestr_szeregowo_rownolegly is port(...
sparta wyświetlacz sparta ładuje sparta kabel
prądnica pierścieniowa uszkodzić wyświetlacz pralka samsung instrukcja centrali paradox
licznik zawyża prędkość licznik zawyża prędkość
Lokalizacja przekaźnika czujnika wody w pralce Candy Smart Jak podłączyć kuchnię elektryczną do prądu?