REKLAMA

liczniki modulo

Znaleziono około 610 wyników dla: liczniki modulo
  • Liczniki [HELP] prosze

    schematy: Potrzebuje licznik zbudowany z przerzutnikow JK , modulo 6<br> Licznik odejmujacy JK modulo 6<br> Licznik modulo 8 wykorzystujac uklad scalony UCY 7490 I schemat licznika modulo 24 na JK prosze o pomoc

    Początkujący Elektronicy   16 Gru 2005 20:56 Odpowiedzi: 1    Wyświetleń: 1101
  • Licznik asynchroniczny mod 9 z przerzutnikami D i bramkami

    Hej, Na zajęciach musieliśmy zbudować licznik asynchroniczny modulo 9 z przerzutnikami D i bramkami. Zarówno dwa warianty: 1) Zliczający do przodu 2) Zliczający do tyłu Z modulo 16 oraz modulo 8 nie ma najmniejszego problemu, natomiast nie wiem jak teraz z niego zrobić modulo 9 (zarówno jak i 10-15). Od czego tutaj powinienem zacząć?

    Nauka Elektroniki, Teoria i Laborki   15 Maj 2021 17:26 Odpowiedzi: 2    Wyświetleń: 690
  • REKLAMA
  • Szukam schematu licznika asynchronicznego modulo 25 rewersyjnego w Digital Works

    Poszukuje schematu licznika asynchronicznego modulo 25 rewersyjnego. Mam zwykły asynchroniczny modulo 25 lecz po przerobieniu nie odlicza w dół od górnej wartości. Tworze to na przerzutnikach JK w digital works

    Początkujący Elektronicy   24 Cze 2021 09:25 Odpowiedzi: 0    Wyświetleń: 207
  • licznik rewersyjny modulo 8 z przerzutników JK

    prosze o pomoc mam za zadanie zaprojektowac licznik rewersyjny modulo 8 z przerzytników typu JK , jesli ktoś coś ma to prosze o maila pod adres dawid205(at)vp.pl lub odpowiedź do tego posta !!plis!!

    Początkujący Elektronicy   26 Lis 2006 14:57 Odpowiedzi: 0    Wyświetleń: 2040
  • REKLAMA
  • Licznik rewersyjny modulo 7

    Witam! Muszę stworzyć schemat licznika wstecznego synchronicznego (od 6 do 0) wykorzystującego przerzutniki typu D. Stworzyłem licznik modulo 8, ale nie wiem jak pozbyć się tej 7, czy mógłby mi ktoś wytłumaczyć jakie bramki musze dodać żeby to działało? Załączam schemat tego co zrobiłem. Z góry dziękuję za pomoc :)

    Początkujący Elektronicy   11 Sty 2014 14:49 Odpowiedzi: 0    Wyświetleń: 1752
  • Licznik synchroniczny Modulo 7

    Mam do zrobienia licznik synchroniczny modulo 7 czyli liczy od 0 do 6. Gdy x=1 ma przeskakiwać cyfre 5. Zrobiłem tak , jednak źle mi to liczy. Bardzo proszę o pomoc.

    Początkujący Elektronicy   28 Sty 2016 18:17 Odpowiedzi: 1    Wyświetleń: 4275
  • Licznik asynchroniczny MODULO 12

    Witam, potrzebuję pomocy z zaprojektowaniem licznika asynchronicznego MODULO 12 na Podstawy Techniki Cyfrowej. Mógłby mi ktoś pomóc w wykonaniu tego licznika albo chociaż dać jakieś wskazówki Pozdrawiam. Daniel

    Nauka Elektroniki, Teoria i Laborki   25 Lis 2016 12:33 Odpowiedzi: 1    Wyświetleń: 1395
  • REKLAMA
  • Licznik szeregowy modulo 13

    Cześć, próbuje zrobić licznik szeregowy modulo 13, liczący w górę z wykorzystaniem przerzutników D. Jednak po odpaleniu symulacji nie następuje nigdzie reset z 12 do 0 - po osiągnięciu 12 już nigdy nie zmienia się wartość. Tutaj są moje tablice. Kolumny są ponumerowane w ten sposób: DCBA, gdzie 7 = 0111 Mała poprawka, w kolumnie A jest: A'D' + A'C'D....

    Nauka Elektroniki, Teoria i Laborki   07 Gru 2016 22:11 Odpowiedzi: 0    Wyświetleń: 1800
  • Projekt licznika synchronicznego modulo 5 na przerzutnikach D - jak zaprojektować?

    Hej, Muszę zaprojektować licznik synchroniczny modulo 5 na przerzutnikach D. Licznik powinien liczyć w obie strony zależnie od sygnału X (X=1 liczenie do przodu, X=0 liczenie do tyłu). Niestety mam z tym problem. Zacząłem troche działać jednak ze słabym skutkiem.Ktoś może naprowadzić. Załączam zdjęcie co do tej pory udało mi się wykonać

    Nauka Elektroniki, Teoria i Laborki   01 Cze 2020 18:39 Odpowiedzi: 1    Wyświetleń: 774
  • REKLAMA
  • EWB licznik asynchroniczny modulo 22

    Witam, mam mały problem z podłączeniem w EWB 5.12 modulo 22 Dwie bramki typu 93 Tutaj screen do tego co zrobiłem. Wiem, że musze 1 bramke zrobić do 16 no i się zgadza ale po tych 16 powinno iść jeszcze 5. Czyli kończy się F i nagle 1,2,3,4,5 i 0 i od nowa. Prosze o pomoc bo w poniedziałek mam sprawdzian symulacyjny na UTK. Zmień sposób wklejenia grafiki:...

    Software serwis   03 Gru 2011 16:06 Odpowiedzi: 0    Wyświetleń: 1041
  • Liczniki szeregowe zliczające w tył

    Witam, Mam problem ze zrozumieniem działania liczników szeregowych modulo, zliczających w tył. Jak należałoby narysować schemat i przebieg dla licznika np. modulo 5, zbudowanego z przerzutnika D, jak na obrazku? Zaczynamy od stanu nr 7(111) i kiedy nadejdzie stan 3(011) zerujemy licznik odpowiednimi bramkami, czy (co wydaje mi się błędne) zaczynamy...

    Nauka Szkolnictwo   01 Wrz 2016 00:02 Odpowiedzi: 0    Wyświetleń: 1533
  • Modulo 10 od tyłu. Jak to można zrobić?

    Witam! Przepraszam, że tak późno - dopiero dziś kombinowałem i rysowałem. Tu masz asynchroniczny, jak chciałeś na JK i NAND-ach. "Dedykowany" pod 7473 itp. Wyjściami licznika są wyjścia proste: A, B, C, D. Pamiętaj, że 7473 (i inne JK_Master Slave) zatrzaskuje stan wejść przy dodatnim zboczu, a wyjścia zmienia przy ujemnym (musi wystąpić pełny impuls...

    Początkujący Serwisanci   05 Mar 2006 12:54 Odpowiedzi: 10    Wyświetleń: 3696
  • Liczniki na układzie 7490

    Ma być to licznik: a) modulo 2 b) modulo 5 Pozdrawiam

    Początkujący Elektronicy   03 Cze 2007 12:58 Odpowiedzi: 6    Wyświetleń: 3052
  • Licznik 7490 +dzielenie przez 161

    Ok układ zrobiony. Mam jeszcze tylko jedno pytanko. Zawsze spotykałem się zsłownictwe. "Licznik 7490 modulo x np5.) A facet poduktował coś takiego: "Na liczniku 7490 zaprojektuj układ dzielnika 161" I tak dla pewności to to samo co modulo??? Na 99% jestem przekonany że tak. Ale wole się upewnić.

    Początkujący Elektronicy   28 Gru 2007 10:51 Odpowiedzi: 10    Wyświetleń: 3916
  • Licznik rewersyjny modulo

    W jaki sposób uzyskać zerowanie rewersyjnego licznika modulo? Skonstruowałem taki w oparciu o przerzutniki T, gdzie na wejścia zegarowe jest podawany EXOR(wyjście poprzedniego, kierunek zliczania). Problem pojawia się przy zerowaniu (które odbywa się przy wykryciu stanu niedozwolonego), mianowicie dla stanu niedozwolonego 0101b (10) po zerowaniu następuje...

    Początkujący Elektronicy   11 Gru 2009 14:37 Odpowiedzi: 1    Wyświetleń: 2422
  • Licznik asynchroniczny modulo 36 liczący w kodzie BCD na przerzutnikach JK

    Witam, zrobiłem licznik asynchroniczny mod 36 na JK i do końca nie działa jak powinien. Otóż na początku liczy od 0 do 35 i się resetuje, ale tu zaczyna liczyć od 6 do 35 znowu się resetuje i po raz kolejny liczy od 6 do 35 i tak już w kółko. Poniżej schemat, czy mógłby ktoś poprawić, albo chociaż wytłumaczyć mój błąd?

    Początkujący Naprawy   16 Cze 2013 15:33 Odpowiedzi: 0    Wyświetleń: 2838
  • Licznik asynchroniczny modulo 7 metodą podziału liczby

    Witam. Założyłem temat, ponieważ nigdzie w internecie nie mogłem znaleźć odpowiedzi na to pytanie. Czy jest możliwe złożenie licznika asynchronicznego na przerzutnikach JK mod 7 opartego na metodzie podziału liczby tak aby liczył w górę albo w dół w kodzie dwójkowym? Bez używania resetu oraz bez dodatkowych bramek logicznych. Zależy mi na zdaniu ludzi...

    Początkujący Naprawy   05 Wrz 2013 11:38 Odpowiedzi: 0    Wyświetleń: 1491
  • Rozpoznanie licznika JK (modulo ile) oraz sekwencja stanów licznika JK

    Witam. Mam dwa pytania : 1) W jaki sposób rozpoznać modulo ile jest ten licznik JK? Proszę o jak najdokładniejsze wytłumaczenie "na chłopski rozum". 2) W jaki sposób ustalić sekwencję? Również proszę o jakieś jasne wytłumaczenie dla początkującego. Z góry dziękuję za pomoc.

    Początkujący Elektronicy   08 Gru 2017 19:28 Odpowiedzi: 3    Wyświetleń: 1329
  • Modulo 11 JK i 9 D schematy

    Witam potrzebuje schematu licznika asynchronicznego modulo 9 liczącego w przód z JK oraz modulo 11 również liczącego ale z D. Nie wiem do końca jak to poustawiać a na internecie nie mogłem znaleźć Pozdrawiam

    Początkujący Elektronicy   03 Wrz 2018 20:50 Odpowiedzi: 0    Wyświetleń: 690
  • Licznik Sn 74193 modulo 16 liczący w tył - sprawozdanie. Informacje.

    Witam jestem studentem pierwszego roku Informatyki (zaocznie) z tego tytuły nie mam za wiele czasu na naukę(praca, rodzina). Muszę napisać do 7 stycznia sprawozdanie na temat licznika Sn 74193 modulo 16 liczącego w tył . Szukałem w sieci schematu ze sposobem działania, przebiegami czasowymi, tabelą prawdy itd. Nie mogłem nigdzie znaleźć takiego schematu....

    Początkujący Elektronicy   26 Gru 2004 22:56 Odpowiedzi: 2    Wyświetleń: 2160
  • licznik snchroniczny modulo 3

    mam zaprojektowac licznik jak w tytule, nie okreslono na jakich bramkach. ma ktos o tym jakies pojecie? chodzi o dokladne rozpisanie, siatka karnough itd.

    Początkujący Elektronicy   23 Wrz 2005 20:23 Odpowiedzi: 1    Wyświetleń: 1236
  • Licznik rewersyjny modulo 8???

    Pilnie potrzebuję schematu licznika rewersyjnego modulo 8.

    Początkujący Elektronicy   31 Gru 2005 02:49 Odpowiedzi: 1    Wyświetleń: 3611
  • Licznik asynchro modulo 7 - zaprojektowanie

    Witam Próbuję stworzyć licznik asynchroniczny liczący do przodu mod7 z pełnego mod8. Stworzyłem coś takiego jak poniżej mianowicie, gdy pojawi się na wyjściu "6" wtedy bramka zeruje obydwa przerzutniki. Powiedzcie Mi, czy dobrze to zaprojektowałem, czy jest gdzieś jakiś błąd ?

    Początkujący Elektronicy   21 Maj 2006 15:21 Odpowiedzi: 3    Wyświetleń: 2729
  • Licznik synchroniczny modulo 7 na JK bardzo prosze o pomoc..

    Witam! Mam do was wielka prosbe... dopiero co przyjalem sie na pierwszy semestr do szkoly elektronicznej, dostalem cztery zadania do oddania jako prace kontrolna, jak narazie kiepsko u mnie z Ukladami Cyfrowymi i bardzo prosze was o pomoc... jestescie moja wielka nadzieja:) 1. Licznik synchroniczny modulo 7 na Jk (szukalem na sieci i znalazlem cos takiego:...

    Początkujący Elektronicy   01 Gru 2006 23:53 Odpowiedzi: 4    Wyświetleń: 6543
  • Licznik asynchroniczny modulo 24

    Witam potrzebuje pomocy muszę zaprojektować na zajęcia licznik asynchroniczny modulo 24 wraz z przebiegami czasowymi, a nie mam o tym zielonego pojęcia!! Pomózcie proszę !!!!!

    Początkujący Elektronicy   16 Maj 2007 10:11 Odpowiedzi: 8    Wyświetleń: 4808
  • Układ kombinacyjny. Licznik rewersyjny modulo 5.

    Witam Serdecznie Szanownych Grupowiczów! Mam problemik..dostałem zadanie na projekt przejściowy z techniki cyfrowej o takiej treści: "zaprojektować układ kombinacyjny generujący funkcję przełączającą o zbiorze true : T = {0, 1, 3, 5, 6, 7, 12, 13}. Znaleźć postać minimalną; alternatywną oraz koniunkcyjną tej funkcji i narysować odpowiednie schematy...

    Początkujący Elektronicy   30 Maj 2007 07:26 Odpowiedzi: 2    Wyświetleń: 2454
  • zapytanie o licznik asynchroniczny modulo 10

    Witam! mam pytanie odnosnie licznika mod 10, ktory znalazlem w necie... schemat przesylam w zalaczniku, jak i podaje z jakiej stronki go wzialem: pytanie tyczy sie teorii, ktora jest tam napisana: "Licznik ma dwa bramkowe wejścia, z których jedno [wejścia R0(1) i R0(2)] służy do ustawiania licznika w stan 0, a drugie [wejścia R9(1) i R9(2)] służy do...

    Początkujący Elektronicy   21 Maj 2009 17:59 Odpowiedzi: 11    Wyświetleń: 7644
  • Licznik asynchroniczny modulo

    Witam, od kilku dni staram się rozgryźć na czym polega projektowanie liczników jednak bez wyraźnych efektów, dlatego postanowiłem poprosic o pomoc. Zadanie polega na zaprojektowaniu licznika asynchronicznego modulo 18 z dwoma przeskokami. Do tego należy sporzadzic wykresy czasowe i narysować przerzutniki. Sety, resety oraz zbocza reagują tak jak zaprojektujemy....

    Nauka Elektroniki, Teoria i Laborki   02 Cze 2009 12:15 Odpowiedzi: 4    Wyświetleń: 10749
  • 7493 licznik 13 modulo + 7400???

    Proszę o pomoc bo nie wiem jak zbudować licznik(7493) modulo 13 wykorzystując do tego 7400. Próbowałem już parę razy ale na symulacji mi nie wychodzi, :!::cry:

    Początkujący Elektronicy   22 Paź 2009 22:35 Odpowiedzi: 6    Wyświetleń: 4953
  • licznik synchroniczny modulo 8

    Witam. Bardzo prosiłbym Was o sprawdzenie wykonanego od początku przeze mnie licznika synchronicznego modulo 8. Czy poprawnie wszystko jest wykonane (obliczenia, minimalizacje) oraz schemat? Wykonałem całość projektu, ale coś nie pasuje mi to wszystko. Mam wrażenie, że układ nie działa. Czy na wyjściach Y, czyli stanu bieżącego Q mogą być LED sygnalizujące...

    Nauka Elektroniki, Teoria i Laborki   22 Lip 2010 12:18 Odpowiedzi: 9    Wyświetleń: 14583
  • Licznik asynchroniczny modulo 7/12

    Witam, Projektuję licznik asynchroniczny modulo 7/12 Wiem jak zrobić licznik modulo 7 i jak zrobić modulo 12. Jednak nie jestem pewien jak zrobić, układ żeby przerzutniki działały raz jako modulo 7 a raz jako modulo 12. Według założeń do wyboru zakresu mam użyć dodatkowe wejście. Zrobiłem siatkę dla funkcji 5 zmiennych (4 wyjścia przerzutników i przełącznik)...

    Początkujący Elektronicy   25 Paź 2011 23:28 Odpowiedzi: 9    Wyświetleń: 7636
  • Licznik rewersyjny modulo 1000

    Jak zrobić licznik rewersyjny modulo 1000? czytałem jak zrobić modulo 100 ale nie znalazłem na temat modulo 1000.

    Początkujący Elektronicy   03 Gru 2012 22:58 Odpowiedzi: 2    Wyświetleń: 1236
  • Przebieg sygnału licznika 3-bitowego (modulo)

    Cześć, mam pytanie odnośnie przebiegu sygnału licznika 3-bitowego modulo 6. Przeczytałem rozdział w książce W. Głockiego - "Układy cyfrowe" i nie jestem pewien czy dobrze zrozumiałem. Q0Q1Q2 000 100 010 110 001 następuje restart? 000 100 itd. Czy dobrze to rozumiem? Do jakiego momentu należy to rozpisać? Dzięki z góry za pomoc i sprawdzenie ;)

    Początkujący Elektronicy   04 Lut 2013 17:23 Odpowiedzi: 2    Wyświetleń: 1173
  • Licznik asynchroniczny modulo 6/11 - błąd w schemacie czy efekt hazardu?

    Witam, mam zaprojektować licznik asynchroniczny modulo 6/11 (wybór zakresu ustawia się dodatkowym wejściem). Wykorzystać mam asynchroniczne wejście RESET (0 jako aktywny stan wejścia RESET). Sporządziłem tabelę prawdy: gdzie A steruje trybem pracy 6/11. Następnie na jej podstawie stworzyłem siatkę Karnaugh dla funkcji RESET. Zbudowałem układ w symulatorze....

    Projektowanie Układów   13 Lis 2013 06:45 Odpowiedzi: 4    Wyświetleń: 3444
  • Moduo 6 z 7490 - Modulo 6 z 7490

    Witam serdecznie, potrzebuję pomocy w zaprojektowaniu układu licznika w EWB modulo 6 wykorzystując przy tym układ 7490

    Projektowanie Układów   11 Lut 2014 14:33 Odpowiedzi: 1    Wyświetleń: 1983
  • Licznik asynchroniczny modulo 8

    Witam serdecznie czy któryś z kolegów jest w stanie przenieść ten schemacik do programu electronics Workbench Multisim 10 chodzi o przebiegi czasowe dziękuje bardzo za odpowiedz

    Nauka Elektroniki, Teoria i Laborki   28 Gru 2014 15:25 Odpowiedzi: 2    Wyświetleń: 1224
  • Licznik JK modulo 5 zliczający w tył.

    Witam, mam takie pytanie, bo niedługo piszę egzamin, a nie moge nigdzie znalezc na to odpowiedzi. O ile wiem jak rysowac schematy licznikow JK i D modulo N zliczających w przód, o tyle nie wiem jak poradzic sobie z licznikami zliczającymi w tył. Da sie to w ogole zrobic na przerzutnikach asynchronicznych w schemacie szeregowym? Przykładowo mam zbudowac...

    Początkujący Elektronicy   12 Wrz 2015 13:55 Odpowiedzi: 2    Wyświetleń: 3867
  • Licznik 7493 modulo 95 - blokada sygnału reset

    Witam, potrzebuję info jak mogę zablokować sygnał resetujący licznik na pierwszą połowę czasu zegara gdyż mam zerowanie licznika zbyt wcześnie (stany przejściowe) Pozdr Gracjan

    Początkujący Elektronicy   26 Sty 2016 22:58 Odpowiedzi: 3    Wyświetleń: 1395
  • Licznik rewersyjny modulo 10

    Witam Muszę zaprojektować na zadanie domowe dekadę liczącą rewersyjną w kodzie BCD z przerzutników JK gdy x=1 liczy w góre, x=0 liczy w dół. Na razie zrobiłem tabele i chciałem się zapytać czy wszystko jest dobrze, jeśli tak jest to zacznę kontynuować pracę. Bardzo proszę o pomoc.

    Początkujący Elektronicy   09 Kwi 2016 20:06 Odpowiedzi: 1    Wyświetleń: 999
  • Licznik JK modulo 5 zliczający w tył

    Witam. Pomoże mi ktoś zrobić licznik JK modulo 5, zliczający w tył?

    Początkujący Elektronicy   19 Lut 2017 20:03 Odpowiedzi: 2    Wyświetleń: 1401
  • Licznik synchroniczny modulo 10 w kodzie +3

    Zrobiłem wszystko, tylko źle. Liczy mi od 0 do 7 i dalej znowu od zera.

    Nauka Elektroniki, Teoria i Laborki   10 Paź 2018 21:04 Odpowiedzi: 0    Wyświetleń: 459
  • Jak zacząć projektowanie licznika asynchronicznego modulo 18 na przerzutnikach JK?

    Witam. Jestem początkującą osobą każda wskazówka jest ważna. Mam pewien problem. Chciałbym zrobić licznik modulo 18. Wiem że najpierw muszę zacząć od zrobienia schematu. Nie prosze o podanie gotowców itp ale prosiłbym o jakąś pomoc w wejście w temat. 1. Od czego powinienem zacząć? Jakieś pierwsze kroki które powinienem zrobić zaczynając wogóle rysowac...

    Początkujący Elektronicy   04 Kwi 2020 15:19 Odpowiedzi: 2    Wyświetleń: 459
  • Zaprojektuj licznik synchroniczny modulo 10 na układzie scalonym UCY 74191

    Mam do zaprojektowania licznik synchroniczny modulo 10 zliczający do przodu i wstecz z wykorzystaniem układu scalonego UCY 74191. Mam problem z tym licznikiem, nie wiem, jak mam się za to zabrać. Proszę o pomoc.

    Nauka Elektroniki, Teoria i Laborki   19 Kwi 2020 08:10 Odpowiedzi: 1    Wyświetleń: 870
  • Licznik asynchroniczny modulo 9 oparty na przerzutnikach D

    Witam, Otrzymałem w ramach laboratoriów z techniki cyfrowej zadanie zaprojektowania asynchronicznego licznika modulo 9 opartego na przerzutnikach D. Podczas próby rozwiązania zadania pojawił się następujący problem: po liczbie 6 zamiast liczby 7 w symulacji pojawiają się liczby 14 i 15 (błędnie) i następnie liczby 8 i 0 (poprawnie) jak na poniższym...

    Nauka Elektroniki, Teoria i Laborki   19 Lis 2020 09:00 Odpowiedzi: 5    Wyświetleń: 1833
  • Licznik asynchroniczny modulo 4 na bazie przerzutników JK

    Hej, Generalnie uczę się do egzaminu z elektroniki i mam problem z jednym zadaniem. Muszę zrobić licznik asynchroniczny modulo 4 na bazie przerzutników JK rewersyjny - zliczający w przód lub w tył w zależności od wejścia s. Sam licznik zliczający w przód lub w tył potrafię zrobić, ale nie mam pomysłu jak zrobić, żeby zliczał w przód lub w tył w zależności...

    Początkujący Elektronicy   09 Wrz 2021 16:46 Odpowiedzi: 2    Wyświetleń: 348
  • Licznik asynchroniczny modulo 4 zliczający w dół, przerzutniki D

    Czołem, mam problem z zadaniem na studia. Miałem stworzyć licznik taki jak w temacie, co zrobiłem, ale prowadzący zajęć uparł się, że do resetów musi być podłączona jakaś bramka, pomimo, że licznik działa poprawnie i zlicza od 3 do 0. Próbowałem naprawdę wielu wariantów, przekopałem internet i naprawdę nie wiem co mam robić. Jeśli ktoś mógłby mi pomóc,...

    Początkujący Elektronicy   14 Lut 2022 14:25 Odpowiedzi: 2    Wyświetleń: 819
  • licznik na GAL pytanie teoretyczne

    uscislijmy specyfikacje: uklad ma zliczac sygnal 'impulsy_do_zliczania' w gore, jesli aktywny jest sygnal 'licz_w_przod' i w dol, jesli aktywny jest sygnal 'licz_w_tyl'; co ma zrobic, jesli oba sygnaly kierunku liczenia sa aktywne ? jesli oba nieaktywne, to zapewne ma tez nie zliczac; po kazdym doliczeniu sie do 1000 ma sie uaktywnic kolejne wyjscie,...

    Programowalne układy logiczne   14 Kwi 2009 12:51 Odpowiedzi: 13    Wyświetleń: 2393
  • Asynchroniczny licznik za pomocą tabeli przejść

    Mam do zaprojektowania licznik asynchroniczny modulo 9 za pomocą przerzutników JK, ale ma on powstać przez ustalenie tabeli przejść a nie przez proste łączenie dwójek liczących. Jak zrobić licznik synchroniczny za pomocą tabeli przejść wiem i jak asynchroniczny przez proste łączenie dwójek liczących też wiem. W "Układy Scalone TTL w systemach cyfrowych",...

    Początkujący Elektronicy   15 Lis 2009 05:35 Odpowiedzi: 8    Wyświetleń: 5249
  • Asynchroniczny licznik mod 100

    Witam! Szukam wszystkich informacji na następujący temat: Asynchroniczny licznik cyfrowy modulo 100 zbudowany na układach scalonych UCY7490 z serii TTL . (Licznik ten jest zbudowany na dwóch dekadach połączonych łańcuchowo.) Informacje potrzebne mi są do pracy dyplomowej, tak więc będę bardzo wdzięczny za wszystkie. Pozdrawiam.

    Początkujący Elektronicy   20 Lis 2007 18:29 Odpowiedzi: 23    Wyświetleń: 10176
  • 3-bitowy licznik synchroniczny

    Ale jaki licznik konkretnie? Modulo ile? Jeśli masz książkę Barbara i Marek Pióro "Podstawy elektroniki cz. 2" (taka fioletowa ;-) ) To masz tam na stronie 305 początek i leci cały projekt licznika czterobitowego mod 16. Pozdrawiam

    Początkujący Elektronicy   12 Cze 2005 22:44 Odpowiedzi: 4    Wyświetleń: 6110
  • Licznik synch. mod3

    WItam Czy mozecie mi pomoc w zaprojektowaniu licznika synchronicznego modulo 3 na przezutnikach JK i D (tabelki schemat itp na kartce) jeszcze rok temu to umialem a teraz jak potrzeba to totalna klapa a w zeszycie postki ?? POzdrawiam

    Początkujący Elektronicy   09 Sie 2005 16:36 Odpowiedzi: 1    Wyświetleń: 972
  • Licznik mod12 w tył. Gdzie robię błąd?

    Nie wiem w czym problem, licznik "wdolny" modulo 12 liczy: 11,10,9,8,7,6,5,4,3,2,1,0,11,10,9,8,7,6,... .... Ze stanów niedozwolonych 12,13,14 i 15 powinien przechodzić w jednym cyklu do stanu dozwolonego (np. 11)

    Początkujący Elektronicy   05 Lut 2008 14:13 Odpowiedzi: 8    Wyświetleń: 2359
  • Co to za scalak? Program crocclip, licznik binarny.

    Jest to licznik binarny modulo 10, a w rzeczywistości scalak np. UCY7490.

    Elementy Elektroniczne - zamienniki i identyfikacja   29 Paź 2008 21:08 Odpowiedzi: 2    Wyświetleń: 1148
  • Jaki licznik binarny do tego układu?

    Mam zamiar zrobić coś takiego Dowiedziałem się że ten scalak po prawej to licznik binarny modulo 10. Za przykład wskazano mi scalak UCY7490. Niestety takiego w sklepie elektronicznym nie mają. Jaki mogę tam inny zamiennik wstawić.

    Optoelektronika   31 Paź 2008 21:27 Odpowiedzi: 12    Wyświetleń: 5757
  • Liczniki typu JK i D proste pytanie a zarazem ich różnice

    Mam np do wykonania 3 bitowy licznik asynchroniczny modulo 3 liczacy w dol, zrealizowany o przerzutniki jk. Wyglada on tak I teraz pytanie czy wyglada on tak samo w oparciu o przerzutniki D ? Na wstępie moge powiedziec ze jedyne co bylo na tescie to pokazana negacja zegara dlatego reaguje na zbocze opadajace... i tu kolejne pytanie, czy D tez reaguje...

    Początkujący Elektronicy   17 Wrz 2012 12:01 Odpowiedzi: 2    Wyświetleń: 1890
  • Technika Cyfrowa (liczniki synchroniczny i asynchroniczny)

    Witam, przepraszam jeżeli wstawiłem temat w złej sekcji. mam bardzo wielka prośbę nie mogę zrobić 2 zadania z przedmiotu w temacie, proszę o pomoc a mianowicie mam do zrobienia: 1. zaprojektować licznik asynchroniczny mod 8 do tyłu na przerzutniku D. 2. zaprojektować licznik synchroniczny binarny modulo 7 do przodu. przerzutniki dowolne nie wiem jak...

    Nauka Elektroniki, Teoria i Laborki   17 Sty 2013 23:44 Odpowiedzi: 1    Wyświetleń: 2706
  • Jak wykonać reset synchroniczny licznika Mod 13?

    Witajcie. Stworzyłem licznik synchroniczny modulo 16 w oparciu o przerzutniki D. Teraz moje zadanie polega na przerobieniu go na licznik mod 13 za pomocą zerowania synchronicznego . Jak zrobić za pomocą zerowania asynchronicznego to wiem. Ale w jaki sposób mam to wykonać zerowaniem synchronicznym? Rozumiem, że mam wykryć stan N-1 ale nie wiem z czym...

    Nauka Elektroniki, Teoria i Laborki   11 Lut 2019 10:52 Odpowiedzi: 3    Wyświetleń: 780
  • Potwierdzenie czy dane schematy liczników są rozrysowane dobrze

    Potrzebowałem rozrysować kilka schematów liczników (7490/7493) modulo (BCD/binarnie) oraz komparator BCD i chciałbym się upewnić czy rozumiem jak się je rysuje i czy są dobrze

    Nauka Elektroniki, Teoria i Laborki   27 Sty 2022 19:57 Odpowiedzi: 0    Wyświetleń: 210
  • Modulo: prototypowy aparat cyfrowy o bardzo wysokiej dynamice obrazu

    Na Międzynarodowej Konferencji Fotografii Obliczeniowej (ICCP), która odbyła się w kwietniu bieżącego roku, zaprezentowano nową prototypową technologię pozwalającą na realizację fotografii UHDR (Unbounded High Dynamic Range - ang. Nieograniczenie wysoki zakres dynamiki ) w pojedynczej ekspozycji. W świecie rzeczywistym dynamika intensywności oświetlenia...

    Newsy   23 Sie 2015 19:12 Odpowiedzi: 0    Wyświetleń: 1341
  • Jak zrobić na układach 7490 oraz 74193 kolejno liczniki...

    Witam. Mógłby ktoś mi wyjaśnić lub narysować schemat w jaki sposób mogę wykorzystując maksymalnie 5 układów 7490 zrobić licznik modulo 5023 oraz na układzie 74193 zrobić licznik modulo 8 liczący w tył od 8 wykorzystując wejście zerujące? Udało mi się jak na razie zrobić coś takiego dla licznika mod 5023. W symulatorze działa poprawnie z chwilowymi przekłamaniami...

    Nauka Elektroniki, Teoria i Laborki   02 Lut 2019 10:42 Odpowiedzi: 0    Wyświetleń: 546
  • liczniki scalone, układ przepuszczający 2 z 4 impulsów.

    wyszukaj w necie "ucy7492 modulo 2" i znajdziesz wszystko np : licznik modulo 2 to tzw dwójka licząca,i jak to określiłeś "z 4 impulsów przepuszcza tylko 2",pozdrawiam.

    Początkujący Elektronicy   22 Sty 2021 09:54 Odpowiedzi: 7    Wyświetleń: 2911
  • układy cyrfowe... liczniki... help...

    mysle ze ulatwienie: uklad przepuszczajacy 3 z 8 impulsow z wykorzystaniem 7490,7492,7493, zalozmy ze z licznika 7490 robimy modulo 8 skracajac odpowiednio cykl jego pracy, wlaczamy generator i licznik wyliczy od 0 do 7 czyli 8 impulsow, ale jak zrobic zeby po osiagnieciu 4 te trzy wymagane impulsy 'przepuscil'? Przy pomocy 2 bramek Nand. Na pierwszą...

    Początkujący Elektronicy   31 Maj 2009 19:32 Odpowiedzi: 21    Wyświetleń: 5822
  • Jak zbudować taki licznik?

    Troszeczkę zbyt mało precyzyjne - on sam ma się przełączać 7 - 12 czy można to zrobić np. przełącznikiem ? Chcesz go zbudować fizycznie czy tylko projekt ? Na początek zanim będzie odpowiedź to poszukaj sobie czegoś o licznikach modulo. Ten licznik liczy do 13, potem sie resetuje i liczy sobie od nowa i tak bez konca :) zeby sie nie resetowal musisz...

    Elementy Elektroniczne - zamienniki i identyfikacja   28 Maj 2007 18:39 Odpowiedzi: 4    Wyświetleń: 2040
  • Falownik na bramkach logicznych i liczniku

    Tak naprawdę w falowniku są same sygnały prostokątne tyle że modulowane. Zmianę polaryzacji myślałem zrobic właśnie poprzez licznik który np po zliczeniu 1000 impulsów zamieni kluczowane tranzystory. Jedyny problem poza wymogiem U/f które na razie olewam, to za bardzo mi nie wychodzi ta modulacja. Zauważyłem że kolejne wyjścia licznika przyjmują kolejno...

    Projektowanie Układów   15 Sty 2018 10:54 Odpowiedzi: 5    Wyświetleń: 555
  • prośba ogromna-liczniki MOD

    No i tu bym sie nie zgodzil, a co jesli kolega ma taki problem do rozwiazania jak ja kiedys: Zaprojektowac licznik synchroniczny modulo 10 zliczajacy w kodzie Grey'a+3. Za pomoca Johnsona tego sie nie zrobi.

    Początkujący Elektronicy   08 Cze 2003 00:15 Odpowiedzi: 7    Wyświetleń: 1787
  • zapytanie o dzielnik modulo 10 w modelsimie

    Witam! Mam pytanie: Napisalem kodem w modelsimie schemat, ktory przesylam ponizej. Jest to schemat licznika modulo 10 (przerobiony poprostu z mod 16 licznik 7490). Przerzutniki JK dzialaja poprawnie (sprawdzilem ich dzialalnosc osobno), lecz gdy podlaczylem uklad do calosci mam pewien blad... a mianowicie: Wiadomo, ze przerzutnik JK dziala na opadajace...

    Początkujący Elektronicy   03 Sty 2008 18:57 Odpowiedzi: 1    Wyświetleń: 1434
  • Liczniki symulacja, licznik mod5, jak to zrobić? EWB

    Licznik 74192 liczy w górę maksymalnie od 0 do 9, w dół może liczyć od 15 do 0 o ile po zerze będziemy wpisywać równolegle na wejściach A,B,C,D odpowiednie liczby >9 na 74193 masz licznik binarny od 0 do 15 z możliwością skracania przez wpisanie liczby Skracanie cyklu liczenia polega na połaczeniu wyjścia BORROW (przy liczeniu w dół) lub CARRY (przy...

    Początkujący Elektronicy   25 Lut 2008 17:37 Odpowiedzi: 4    Wyświetleń: 3719
  • Assembler - 8051 realizujący modulo 100

    Witam serdecznie, borykam się ze zrealizowaniem zadania o poniższej treści: Zaprojektować w asemblerze system mikroprocesorowy złożony z mikrokontrolera 8051. System ma realizować licznik modulo 100 generujący skalę czasową co 1s. Uruchomienie licznika i start od zera następuje poprzez podanie sygnału 1 na przycisku, wyłączenie przycisku (zero) powoduje...

    Programowanie Początkujący   28 Maj 2014 23:44 Odpowiedzi: 0    Wyświetleń: 1293
  • Modulo 12, 233, 263 - jak zrobić na wyświetlaczach?

    Witam, przecież to proste, skoro mają być stany liczników wyświetlane na wyświetlaczach siedmiosegmentowych, to te liczniki muszą pracować w kodzie BCD. I tak: - 12; licznik dwudekadowy, "skrócony" do 12, - 233; licznik trójdekadowy, "skrócony" do 233, - 263; licznik trójdekadowy, "skrócony" do 263. Jak dobrze poczytasz w Pomocy do symulatora to zapewne...

    Początkujący Elektronicy   11 Cze 2007 01:19 Odpowiedzi: 3    Wyświetleń: 942
  • [sprawozdanie] czasy propagacji liczników

    Witam, proszę Was uprzejmie o odpowiedź na pytanie, czym się różnią czasy propagacji tych samych liczników ale jeden zbudowany równolegle a drugi szeregowo? mógł by ktoś narysować czas propagacji licznika modulo 20 szerogegowego i jeszcze później licznika modulo 20 ale równlolegle zbudowanego? edit tak przy okazji o co chodzi z tym bo w zadaniu mam...

    Początkujący Elektronicy   06 Lut 2008 18:12 Odpowiedzi: 0    Wyświetleń: 759
  • Liczniki w sekwencji przy użyciu przerzutników D czy innych?

    A. Licznik modulo 3 -> bramka OR -> sekwencja { 0 1 1 } A może na 2 przerzutnikach D bez dodatkowej bramki? na pierwszą podać negację drugiej, drugą resetować, gdy na pierwszej jest 0, wpisywać zegarem 1, gdy na pierwszej jest 1, wyjdzie: { 0,0 1,0 1,1 } i z pierwszej mamy { 0 1 1 }. B. Licznik modulo 15 (z licznika 4-bitowego i bramek?). Chyba 2 bramki...

    Projektowanie Układów   27 Mar 2013 20:52 Odpowiedzi: 1    Wyświetleń: 1539
  • Jak zabezpieczyć licznik przed wyłączaniem na działce ROD?

    Skrzynka nie jest hermetyczna - na dole są otwory, które nie są niczym zabezpieczone. Pytanie czy kondensująca się wewnątrz wyłącznika nadprądowego woda może wyzwalać? Czy raczej woda konsensująca się w liczniki prowadzi do zwarcia? Możesz zastosować hermetyczną rozdzielnicę z zamkiem - np. 4 modułową - powinna się tam po prawej stronie szyny TH35 zmieścić:...

    Elektryka Dla Każdego   31 Mar 2020 20:19 Odpowiedzi: 23    Wyświetleń: 1788
  • Modulo 2. Układ elektroniczny obrazujący działanie modulo 2.

    7490 to jest asynchroniczny licznik liczący do 10 lub mniej (jezeli damy sprzężenia). Bramki EXOR (dwuwejściowe) są w układzie 7486 (74LS86, 74HC86, 74HCT86) Wyprowadzenia układu: 1 WE1_1 2 WE1_2 3 WY1=WE1_1 EXOR WE1_2 4 WE2_1 5 WE2_2 6 WY2=WE2_1 EXOR WE2_2 7 MASA 8 WY3=WE3_1 EXOR WE3_2 9 WE3_1 10 WE3_2 11 WY4=WE4_1 EXOR WE4_2 12 WE4_1 13 WE4_2 14 +Vcc...

    Początkujący Elektronicy   07 Gru 2004 10:27 Odpowiedzi: 4    Wyświetleń: 3075
  • Lcznik modulo dwucyfrowy 90 na przerzutnikach JK rewersyjny

    Tak jak w temacie ;] musze zaprojektosac owy licznik lecz nie wiem od czego zaczac (a ze elektroniki to moj piewszy rok w szkole policealnej po ogólniaku). 1. napisac symbol licznika 2 narysowac schemat na ukł scal 3 zastosowanuie 4 narysowac schemat licznika i przebiegi czasowe Wiem ze na owym forum jest dużo specjalistów w tej dziedzinie więc proszę...

    Początkujący Elektronicy   05 Gru 2005 14:55 Odpowiedzi: 1    Wyświetleń: 1269
  • Proszę o pomoc modulo 11 i modulo 12

    Witam mam do zrobienia laborke z ,,Badania licznika synchronicznego 74193,, i mam ją praktycznie całą zrobioną potrzebuje tylko Tabela stanów dla licznika modulo 11 zliczający w przód i w tyl i ewentualnie Przebiegi czasowe tego licznika modulo 11 zliczający w przód i w tył . wyliczać jej nie zabardzo mam czas a projektować w jakims programie elektronicznym...

    Początkujący Elektronicy   29 Maj 2006 18:12 Odpowiedzi: 5    Wyświetleń: 4788
  • Licznik synchroniczny rewersyjny.

    Witam. Musze zaprojektować 3 liczniki synchroniczne. Każdy to modulo 6. Jeden ma liczyć do przodu drugi do tyłu a trzeci ma być rewersyjny. No i właśnie...wiem jak zrobić modulo 6 do przodu i do tyłu ale nie wiem jak wykonać licznik rewersyjny...niestety nie było tego na zajęciach...Mógłby ktoś podpowiedzieć jak się za to zabrać? Pozdrawiam, Kamil.

    Początkujący Elektronicy   09 Gru 2007 19:57 Odpowiedzi: 4    Wyświetleń: 5251
  • Dzielnik częstości modulo 3(synchroniczny)

    No własnie niestety nie. Myślałem że to to, ale okazuje sie że nie działa poprawnie, później dowiedziałem się że to jest asynchroniczny i dlatego nie działa tak jak chce. Synchroniczny jest ponoć bardziej skomplikowany. Wogule popatrz na te wykresy pod schematem. Synchroniczny i asynchroniczny niczym sie nie różnią?? To jest (niestety :D ) licznik...

    Nauka Elektroniki, Teoria i Laborki   12 Sty 2009 14:11 Odpowiedzi: 6    Wyświetleń: 4806
  • NI Multisim prosty licznik

    Witam zainteresowany laboratoriami na studiach z automatyki przemysłowej chciałem stworzyć licznik modulo 9 i modulo 99 przy pomocy układów tll UCY7490 w programie NI multisim . Niestety mimo moich wielu prób układ skontruowany przeze mnie nie działa po wciśnięciu play. może mi ktoś poradzić co należy poprawić ? załączam stan obecny projektu.

    Programy EDA   23 Lis 2010 20:49 Odpowiedzi: 0    Wyświetleń: 2975
  • Układy dwójki liczącej modulo 2 oraz modulo 4

    Początkujący Elektronicy   08 Kwi 2017 20:28 Odpowiedzi: 4    Wyświetleń: 1644
  • Wyłącznik nadprądowy za licznikiem: funkcja, schematy i porównanie z umiejscowieniem na rozdzielni

    Nie można sobie ot tak stwierdzić, że zabezpieczenie np. 16A będzie odpowiednie, bo to zależy od wielu czynników, a przede wszystkim od przekroju przewodu, jego długości, a co za tym idzie spadku napięcia na tym przewodzie oraz od zabezpieczeń poprzedzających dany obwód chociażby w celu zapewnienia selektywności i najważniejsza rzecz, czyli spełnienie...

    Elektryka Dla Każdego   11 Wrz 2022 11:42 Odpowiedzi: 29    Wyświetleń: 882
  • licznk synchroniczny 8-bitowy modulo N????

    Witam. Dostalem takie zadanko i mam problem w jego realizacji, czy moglby mi ktos z tym pomoc: Zaprojektowac licznik synchroniczny 8-bitowy zliczający modulo N(N jest ustawione z zewnątrz) N<=25 Dodano po 40 mala pomylka N<=256 ;)

    Początkujący Elektronicy   25 Gru 2005 17:56 Odpowiedzi: 0    Wyświetleń: 798
  • Modulo 5 na 7590!

    gdzieś tu zamieszczałem materiały jak producent proponuje zrobić "licznik modulo" na przykładzie licznika 9305. W nocie podał przktycznie wszytskie podziały do chyma 128. poszukaj

    Początkujący Elektronicy   03 Lut 2006 08:25 Odpowiedzi: 4    Wyświetleń: 1008
  • Czy wymiar 29 cm wystarczy na licznik dwukierunkowy?

    Trzeba zostawić tyle miejsca, żeby swobodnie móc operować nakrętką i kluczem nasadowym M8. Licznika nie ruszam Nowa rozdzielnica będzie się kończyć 5mm nad uchwytem obecnego licznika, obawiam się żeby nowy licznik nie był wyższy. Górna skrzynka jest demontowalna, niezależnie od tablicy. Dodano po 7 Czemu nie chcesz zrobić tego "po ludzku" i we wnęce...

    Elektryka Instalacje i Sieci   11 Sty 2020 23:29 Odpowiedzi: 8    Wyświetleń: 1875
  • Podłączenie licznika dwukierunkowego w instalacji fotowoltaicznej.

    Zdajesz sobie sprawe, ze ten licznik calkowitego zuzycia ani produkcji Ci nie zliczy? Licznik zliczy tylko to co wysylasz i pobierasz z sieci, nie uwzgledni autokonsumpcji. Wymien kontrolki faz na jednomodulowe (3w1) i miejsce sie znajdzie. Zostanie Ci 7 wolnych modulow, a jakbys kontrolki przeniosl do gory to nawet 8.

    Elektryka Instalacje i Sieci   15 Maj 2020 16:58 Odpowiedzi: 16    Wyświetleń: 6426
  • licznik 0-9

    A oto i schemat na układzie 7490 . Jest to licznik asynchroniczny modulo 10. Liczy od 0 - 9 Załącznik usunąłem. Był pusty. ankuch.

    Początkujący Elektronicy   14 Gru 2008 19:14 Odpowiedzi: 30    Wyświetleń: 17277
  • Czy istnieje coś takiego jak modulo 60?

    Pozostaje jeszcze zwykły licznik np. 8 bitowy i komparator, jak licznik doliczy do 59 to komparator (musi być na tyle ustawiony) resetuje licznik z wejścia asynchronicznego. tu miales na mysli chyba komparator na 60 a nie na 59. Chodzi o licznik modulo 60 a nie 59. W sumie racja bo się będzie kasowało asynchronicznie...

    Mikrokontrolery   06 Wrz 2006 19:20 Odpowiedzi: 5    Wyświetleń: 2642
  • Modulo 3 na przerzutniku D (7474)

    Możesz rozszeżyć tą wypowiedź?? Jaki generator ma szansę działać?? Czy sam licznik będzie sprawny??

    Początkujący Elektronicy   02 Cze 2007 20:37 Odpowiedzi: 2    Wyświetleń: 3808
  • Przerzutnik JK - modulo 256. Wyświetlacz i transkoder.

    Oczekujesz na gotowca? Wystaw na forum cokolwiek wlasnego w tym temacie, a my Ci powiem co jest dobrze, co robisz zle i jak to ewentualnie poprawic. Proponuje zaczac od zbudowania tego licznika modulo 256. Nie wiem czy moze byc cos bardziej prostego do "wymyslenia" niz licznik modulo X, gdzie X=2^n, gdzie n to liczba przerzutnikow. Ostatnie zdanie potraktuj...

    Początkujący Elektronicy   11 Cze 2007 14:53 Odpowiedzi: 3    Wyświetleń: 2259
  • Licznik asynchroniczny

    ale mam takie zadanie : Zaprojektować asynchroniczny licznik mod 11 zliczający do przodu w NKB. Zrealizowac układ na przerzutnikach JK ze zboczem rosnącym i bramki NAND. Zastosowac metode skracania cyklu zliczania. jesli twoj licznik ma reagowac/zliczac na zboczu rosnacym, musisz usunac negacje na linii clk pierwszego przerzutnika; nie wiem, co to...

    Początkujący Elektronicy   11 Gru 2007 16:49 Odpowiedzi: 7    Wyświetleń: 9631
  • Licznik Bramek do piłkarzyków.

    Witam, Musze (tak dokladnie to chce), wykonać licznik bramek do pilkarzyków. Założenia są takie: Jakiś czujnik -> licznik -> wyświetlacz 7-seg. Ważne aby liczyl do 9, miał reset i co najważniejsze: dalo się odjąc 1-go gola [ w razie wpadnięcia piłeczki wbrew regułom]. Musialyby byc dwie tablice (na dwie strony boiska) po dwa wyswietlacze (gospodarz...

    Projektowanie Układów   03 Cze 2008 13:06 Odpowiedzi: 10    Wyświetleń: 4028
  • [pilne, na dzisiaj]liczniki

    pierwszy to jest tabela przejść dal '90 pod każdym masz napisane w jakiej konfiguracji. pierwsza część tabelki na zdj 1 to licznik dziesietny druga tez dziesietny tyle ze z wypełninim 1/2 a trzecia częsć to modulo pięć. aby było modulo dwa to obchodzi cie tylko liczenie 1 2 i odpowiedni stan wyjsc 0 1 i masz modulo 2 (tyle jesli chodzi o 90) drugie...

    Początkujący Elektronicy   24 Sty 2008 21:29 Odpowiedzi: 5    Wyświetleń: 2098
  • AHDL licznik mod 10 oraz konwerter NA 7 segmentowy

    Chcę mieć 4 wyświetlacze 7 segmentowe musisz mi to wytlumaczyc tak, bym zrozumial; co ma oznaczac wymaganie; aby w danym momencie wyświetlać naprzemiennie jeden po drugim moja rada jest taka Dodano po 3 masz tu przyklad, jak zrobic 4 liczniki 4 bitowe w ahdl bez nadmiernego rozpisywania sie; INCLUDE "lpm_counter"; subdesign cnt_dec (...

    Programowalne układy logiczne   07 Mar 2008 12:43 Odpowiedzi: 22    Wyświetleń: 5267
  • Maly problem z licznikami synchronicznymi

    Licznik modulo 5 liczy: 0,1,2,3,4,0,1,2,3,4 itd. 1. Bierzesz zwykły licznik 7490 2. Łączysz wyjście pierwszej dwójki liczącej z wejściem stopnia dzielącego przez 5 3. Wejścia bramki ustawiajacej na 9 podłączasz do masy 4. Wejścia zerujące podłączasz do wyjść licznika tak by wykryć stan 5 (0101) i skasować licznik

    Początkujący Elektronicy   13 Maj 2008 20:00 Odpowiedzi: 1    Wyświetleń: 1052
  • Licznik mod 100 -> zlicza od 0-8

    Zastosowanie tych układów było w pewnym stopniu narzucone przez mojego nauczyciela, (starej daty), on pracował na takich układach i pragnie aby inni tez na nich się uczyli. Dziękuje za radę z bramkami, ale wiem też że różna konfiguracja wejść i wyjść licznika 7490 zmienia jego modulo. W efekcie połączenie dwóch układów, sprawi iż układy będą zliczały...

    Projektowanie Układów   23 Sie 2008 09:53 Odpowiedzi: 5    Wyświetleń: 3278
  • Co znaczy modulo? Jak to się liczy?

    To jest reszta ułamkowa - licznik ułamka - z podzielenia liczby całkowitej przez całkowitą; 87/16=5+7/16, czyli odrzucasz liczbę całkowitą i bierzesz licznik ułamka właściwego (część mniejszą od jedności) - musi to być ułamek wymierny (niedziesiętny). Zaczynam rozumieć to co napisałeś ale trudno jest znaleźć ułamek wymierny (czyt. szybko) liczby 0,4375...

    Nauka Elektroniki, Teoria i Laborki   06 Lis 2008 08:34 Odpowiedzi: 8    Wyświetleń: 64631
  • Układ asynchroniczny modulo 3

    Witam serdecznie, W zadaniu na następne laboratoria mam do wykonania pewne układy asynchroniczne. Chciałem zadać parę ogólnych pytań, bo mam problem z wystartowaniem. 1. Zad 4a z załącznika: nie bardzo rozumiem na jakiej zasadzie ma to działać, ale zdaje się, że dużo mówią o tym załączone do zadania przebiegi. Mam mętlik w głowie, jako że jestem świeżo...

    Początkujący Naprawy   22 Paź 2012 17:16 Odpowiedzi: 5    Wyświetleń: 1779
  • Czy da się zrobić na układzie 4017 licznik do jedenastu?

    Licznik 4017 się nie nadaje, bo jest to dekada, czyli licznik modulo 10. Można mu skrócić cykl ale żeby go wydłużyć trzebaby użyć dwóch takich liczników, więc lepiej użyć jednego licznika o dłuższym cyklu. Oczywiście, że można to zadanie zrealizować na układach CMOS i to na wiele sposobów, wszystko zależy od tego jak ma wyglądać przebieg wyjściowy....

    Projektowanie Układów   05 Maj 2013 20:22 Odpowiedzi: 9    Wyświetleń: 2214
  • Assembler - Szybkie podnoszenie modulo

    Hej, próbuję napisać w assemblerze (Intel 8086 z dostępem do rejestrów 32 bitowych) program wykonujący szybkie podnoszenie modularne. Niestety coś tutaj robię źle. Najprawdopodobniej namieszałem bardzo w dzieleniu i mnożeniu, ale nie wiem, jak to naprawić. Byłbym bardzo wdzięcznym za pomoc. Poniżej zamieszczam mój kod: [syntax=asm].MODEL FLAT, C .STACK...

    Programowanie Początkujący   10 Cze 2014 00:21 Odpowiedzi: 0    Wyświetleń: 819
  • Licznik asynchroniczny niewłaściwa detekcja stanu

    Witam , mój problem polega na tym, że licznik modulo 12 powinien zliczać w dół i po dojściu do zera ustawić się na wartosc 11. Zaprojektowałem taki licznik, niestety ale bramka AND, która ma wykrywać chwilowy stan "1111" wykrywa go za wcześnie. Podejrzewam, że ma to związek z przejściem z stanu 8 na 7, licznik jest asynchroniczny wiec AND wykrywa jedynkę...

    Początkujący Elektronicy   03 Cze 2016 18:20 Odpowiedzi: 1    Wyświetleń: 462
  • [ATMEGA] [C] Sprawdzenie stanu zmiennej - modulo vs porównanie

    Warunek if-a w pętli głównej jest spełniony, więc pętla wykonuje się wielokrotnie (miga LED2, z dużą częstotliwością), aż do następnego przerwania, które podniesie wartość zmiennej licznik i spowoduje, że warunek przestaje być prawdziwy. Stąd też prawidłowe działanie programu w przypadku zmiany wartości zmiennej licznik w bloku if - warunek od razu...

    Mikrokontrolery Początkujący   20 Lip 2017 17:04 Odpowiedzi: 13    Wyświetleń: 1500