Racja racja czyli jeżeli są WSZYSTKIE wejścia w liście czułości to jest on kombinacyjny. A jak niema chociaż jednego to już nie. To chyba nie jest właściwy wniosek. Wydaje mi się, że powinno się raczej to ująć tak: 1. Proces w języku VHDL, używając poleceń sekwencyjnych, może opisywać zarówno układ kombinacyjny lub sekwencyjny. 2. Układ synchroniczny...
Piotrze, a spróbuj jeszcze zamiast: always dać always (at)(*) Bo u mnie np. przy generacji schematu RTL wywala błąd E:/Alagner/Dokumenty/Lattice/liczniki/li... ERROR: (ST-6002) Always Block 'licznik_uniq_1.always' does not have a delay or sensitivity list, possible simulation hang. E:/Alagner/Dokumenty/Lattice/liczniki/li... ERROR:...
Preset ma być synchroniczny czy asynchroniczny? Jak asynchroniczny to SET musisz też dopisać do listy czułości, jeśli synchroniczny to SET pod CE idzie. Nie mam na tym kompie żadnego syntezera to Ci nie sprawdzę jak będzie dobrze, ale na oko widać, że masz w czułościach namieszane zdrowo. EDIT masz tu przykłady jak należy takie rzeczy pisać: http://oclogic.co.uk/WelcomeToVerilog/ch...
z tego ci pamiętam to lista czułości jest dla symulatora. W syntezie ise samo sobie sprawdzi co jest sygnałem sterującym
W liście czułości zawsze piszemy wszystkie sygnały "odczytywane" w procesie. Dla programów do implementacji niekompletna lista czułości nie jest problemem, pojawi się ewentualnie jakiś "warning", że coś nam brakuje, ale sam proces zostanie poprawnie zsyntezowany. Co innego dla programów do symulacji. Tutaj przy niekompletnej liście sygnałów w czasie...
wszystkie instrukcje w obrębie architektury są współbieżne, tzn. są wykonywane równocześnie. proces synchroniczny od asynchronicznego, odróżnia to że na liście czułości znajduje się tylko zegar. oczywiście jeśli napiszesz proces synchroniczny w niewłaściwy sposób, to syntezer się nie połapie i tak i siak wstawi ci na listę czułości sygnały stojące z...
Cóż, oczywiście myślę, że poprawna jest moja wersja. I potwierdzają to podesłane później linki. Wydaje mi się także, że Twój sposób rozumowania wynika z utożsamiania listy czułości procesu i bloku always z verilog'a. Więc chyba każdy z nas pozostanie przy swoim. A głupi automat rozumie nas obu ;-) https://obrazki.elektroda.pl/8988222100_...
Wydaje mi się, że główny problem tkwi, jak poprzednik napisał, w tym, że proces masz z listą, czułości, process(clk) a w samym procesie nie ma warunku na clk . Jeśli to nie pomoże, to napisz co sam syntezer mówi na ten temat. Pozdrawiam
Próbowałem wcześniej wersję z listą czułości bez ADDR i DATA. Też efekt marny. Ale znalazłem pdf-a odnośnie portów dwukierunkowych w układach CPLD. http://www.ece.uvic.ca/lab/ceng450/xapp1... Spróbuję to wykorzystać i zobaczę jaki jest efekt (dodatkowo potrzebny jest sygnał zegara). Pozdrawiam
Kupa błędów, nie rozumiesz jeszcze składni chyba vhdla tak na szybko zrobiłem taki układ chyba jak chcesz aby działał w załączniku znajduje się także test bench. Wszystko symulowałem w najnowszym ISE 13.2. Co do twojego "tworu" to przeanalizuj w komentarzach porobiłem notatki. ;) http://obrazki.elektroda.pl/2381541700_1... Ważna sprawa...
Komenda wait jest niesyntezowalna. Wystarczy if clk'event and clk = '1' then (...) Przydałaby się też jakaś lista czułości procesu, inaczej w symulatorze otrzymasz niekończącą się pętle. process (clk)
Bym się rozpisał na ten temat, ale może kiedy indziej :P Dla fanów C/C++: "The Design Warriors Guide to FPGAs" i wiele innych.
Specem od VHDL nie jestem, ale kiedyś gdzieś czytałem że lista czułości służy do ułatwienia pracy symulatora (żeby wiedział które sygnały sprawdzać). Natomiast w działaniu układu one nic nie zmieniają. Można sygnał pominąć ale jeżeli z kodu wynika że ma być on bramy pod uwagę to oczywiście w realnym układzie (tzn. po syntezie i zaprogramowaniu układu)...
(at) Mroowa1990 to nie najmniejszego sensu. Stworzyłeś zwykły zatrzask (bo pominąłeś wszystkie możliwości stanu LEDR przy wszystkich stanach op , jakbyś je wymienił miałbyś układ kombinacyjny). Przez syntezę to na przykład nie przejdzie, brakuje Tobie reszty możliwości, np na końcu case when others => null; w celu stworzenia zatrzasku. Twój opis...
Tj. nie mogą zostać użyte dwa procesy w których jest zmieniany wynik? To wrzuć to w jeden prces, w listę czułości wrzuć s7 i s8 i pózniej: [syntax=vhdl]process(s7,s8) begin if rising_edge(clk) then if s7='0' then wynik <= L1+L2; else if s8='0' then wynik <= L1-L2; end if; end if; end process;[/syntax]
program który napisałeś jest lekko mówiąc bez sensu bo syntezator nie wie co ma zrobić jak wykonać taką pętle w sprzęcie musisz napisać process z listą czułości, taktowany zegarem (jego zboczem) poszukaj przykłądów w literaturze taki proces będzie zmieniał pętle przy każdym takcie zegara jeśli bedzie spełniony twój warunek i taki układ syntezator już...
Wydaje mi się że to co przeczytałeś w książkach jest dobrze. jeśli sygnał jest umieszczony na liście czułości w procesie, to wtedy każda zmiana na nim powoduje wejście do tego procesu i wyznaczenie nowego wyniku. Co do umieszczania sygnałów na liście czułości to wszystko zależy co chcemy zaprojektować. Czy to ma być model behawioralny, czy syntezowalny....
nieco to skomplikowałeś, ale pomnożyć przez 3 to już chyba totalna komplikacja... Ok, pójdźmy dalej ;) teraz jak mamy porównane impulsy to chciałbym oświecić LED przykładowo na piątym impulsie po szerokim impulsie na "wejściu" , czy mam zrobić kolejny proces tylko z listą czułości process(wejście) i tam oczekiwać zboczy sygnału "wejście" ? bo potem...
Mam jeszcze inne pytania: 1. Jak ustawić linię wyjściową struktury FPGA w stan wysokiej impedancji ? 2. Załóżmy, że mamy 8-bitowy port dwukierunkowy, zdefiniowany jako zewnętrzny. Chciałbym wykonać coś takiego, aby zmiana stanu na tym porcie (wywołana sygnałem zewnętrznym) ustawiała w stan niski jakąś linię zewnętrzną układu FPGA. Z pewnością należy...
błąd polegał na niepotrzebnym użyciu listy czułości, bez której nie działały waity...po usunięciu jej i dodaniu waitów wszystko gra;)
Ciało procesu wykonuje się w tedy, kiedy następuje zmiana jednego z stanów sygnałów zawartych na liście czułości. Jeśli nie ma takiej listy, "zapętla się", daje się takie coś w symulacji, do generacji przebiegów.
Ten sygnał oprócz listy czułości jest umieszczony w "przypisaniu warunkowym" i w zależności od tego sygnału na wyjściu pojawia się 1 lub 0.
Jednak podczas symulacji i działania układu na szynie danych, portach i lcd pojawia się sygnał 'Z'. z załączonego obrazka wynika, że podczas symulacji sterowanie portami jest OK. Nie ujawniają się stany 'Z'. process (xc_rw) begin case (xc_rw) is when '0' => sig <= Data; when '1' => sig(7 downto 4) <= LCD; sig(3...
Dobra kod który posiadam jest nieco mocniej skomplikowany, chciałem napisać tu przykład. Właściwy proces ma listę czułości, jest w niej zegar. Odnośnie braku wartości domyślnych dla przykładu załóżmy, że do zmiennej przypisywany jest sygnał wejściowy. Mam też reset ale nie wnosi nic do mojego problemu chyba teraz. Zastąpiłem też zmienną sygnałem wejściowym.Coś...
no i nie ma prawa działać są dwa rodzaje procesów które odpowiadają budowie rzeczywistych układów i jako takie są kompilowane pierwszy rodzaj to procesy tzw. synchroniczne w którch zmiany sygnałów następują na zoboczu zegara - to u ciebie ma być ten drugi proces bo masz clk'event tyle że nie dałes tego clk na liste czułości, wszystkie takie procesy...
oj to w takim razie ja nie za bardzo potrafiłbym zrobić taki process raczej byłoby ciężko napisać tak żeby sam sobie wynajdywał syganły ale może jakoś by się dało z pliku pobierać taką liste ale też mi się nie wydaje co do delty to nie można na liście czułości jej dodawać
Z mojego tam skromnego doświadczenia z hadeelem mogę powiedzieć tylko tyle że jeżeli korzystasz w procesie z listy czułości pętle typu for wewnątrz procesu nie mają prawa bytu, a raczej mają ale nie będą działać. Jeżeli w liście czułości sygnałów masz np. clk to znaczy ze dany proces będzie działał(uruchomi się) po jakiejkolwiek zmianie sygnału clk....
To jest ogólne pytanie do mikrokontrolerów. Wcześniej używałem w większości arduino ide. Zacząłem się uczyć stm i natknąłem się na przerwania. I teraz się zastanawiam nad ich sensem i czy się o nich uczyć a później zatruwać sobie życie kolejną zbędną warstwą abstrakcji myśląc błednie. Dopiero teraz się tego dowiedziałem bo wcześniej nigdy nie było mi...
Witam Moim zdaniem powinieneś spróbować wrzucić poniższe linijki w proces z parametrem E w liście czułości. begin if G<='1' and rising_edge(E) then zand <= E ; else null; end if; Poza tym konstrukcje if G<='1'jest niepoprawna ponieważ jest to przypisanie, a nie sprawdzenie czy G równa się 1.
Swoją drogą to jestem ciekaw jak ten quartus puszcza symulację skoro proces kombinacyjny blokuje całą symulację ze względu na to, że w każdym stanie zmieniasz licznik, który jest w liście czułości. Teoretycznie powoduje to nieskończoną pętle kombinacyjną, a to z kolei powinno powodować to, że symulator nie powinien ruszyć z czasu 0ns - w tym momencie...
Witam nie chce zakładać nowego tematu dlatego podepnę się pod ten :] mam problem z tym rejestrem przesuwnym, mój kod: library IEEE; use IEEE.std_logic_1164.all; entity D8s is port ( we : in std_logic; wy : out std_logic; clk : in std_logic; nreset : in std_logic ); end D8s; architecture D8s_a of D8s is signal c : std_logic_vector(7...
Syntezowanie się zmiennych bywa czasem nieokreślone, dlatego ja np. w ogóle ich nie używam (no prawie :)), sygnał to sygnał i już, albo jest to kawałek jakiejś ścieżki, albo przerzutnik/latch. Poza tym o tym, że układ jest sekwencyjny nie decyduje istnienie w kodzie procesu, w którym skądinąd instrukcje wykonują się jedna po drugiej (żeby nie powiedzieć...
możesz dać mi jakieś wskazówki, jak przez koncentryk podłączyć się pod kamerkę Sformułuj pytanie jeszcze raz - jest ono dla mnie niezrozumiałe. Skoro napisałeś, że masz obraz z kamery, to zapewne podłączyłeś się po koncentryku (zakładam, że nie masz zdolności paranormalnych i nie uzyskujesz obrazu telepatycznie... ;) ). gdzie lub do kogo w obrębie...
Wcale nie mówiłem, że nie da się tego inaczej zrobić, poza tym nie podoba mi się że w twoim procesie tak na prawdę nie występuję sygnał clk, a ty masz nadzieję, że to zadziała, otóż niektóre programy do syntezy/symulacji pomijają listy czułości i co wtedy?? Ja np. uczyłem się że coś takiego jest b. złą praktyką. Co do masz internalne clocki i wszystki...
Błądzisz synu, Twoja droga jest kręta i wyboista, ale weź te kajdany oświaty i następnym razem umieszczaj kod w przystosowanych do tego znacznikach, bo zdenerwujesz God-moda (; library IEEE - deklarujemy użycie biblioteki z standardu IEEE, używamy do tego pakietu STD_LOGIC_1164 , który nadaje nam zgodność z przyjętymi standardami języku opisu. Czyli...
nie znam się co prawda na Verilogu, ale kolega J.A miał na myśli (a może się myle :) że proces albo jest asynchroniczny albo synchroniczny, tzn jeśli na liście czułości znajduje się 'clk' to nie ma prawa tam być nic innego, stąd przypuszczam że będzie to wyglądać mniej więcej tak: always (at) (posedge clk) begin if (reset) count <=...
Witam Kilka uwag: - jakiś reset by się przydał (wartości początkowe sygnałów np A : std_logic := '1' są niesyntezowalne) - jakieś tłumienie drgań zestyków (debouncer), chyba, że masz go w osobnym module - dlaczego m0 i m1 zadeklarowałeś jako inout? - dziwna lista czułości pierwszego procesu - po co tam np S1, skoro do sprawdzania go dojdziesz tylko...
o rany ;] generalnie to nie jestem zwolennikiem stosowania zmiennych, gdyż ich syntezowalność bywa wątpliwa, polecam operować na sygnałach dlaczego nie chce się kompilować, dlatego że zmienne są dostępne tylko wewnątrz procesu, w którym zostały utworzone, z tego też względu nie mogą występować na liście czułości innego generalnie sprawa jest prosta,...
Przedewszystkim proces jest jedynie wyodrębniona grupą instrukcji. Dla ułatwienia(i jej poprawnego wykonania) symulacji trzeba dodawac liste czułości (czyli liste sygnałów których zmiana powoduje "zadzałanie" przynajmniej części tego co procedurą jest opisane. (Oczywiście dotyczy to symulacji, bo w systetyzownych układach to co jest w procedurze wykona...
Pierwszy Warrnig jest właściwy na liście czułości brakuje ci qout. Drugi nie wiem dlaczego ci się pojawia. Najlepiej wklej kod i podaj na jaki układ kompilujesz. Z innej strony twój projekt licznika jest bardzo dziwny, definiujesz rrst i qout jako inout dlaczego, operujesz na portach zamiast na sygnałach, na wyjściu licznika będą pojawiać się "szpilki"....
"] Przypisanie: a<='1' przed zakończeniem procesu, nadpisuje/zastępuje przypisanie: a<='0' nie tylko w syntezie, w symulacji również. Nie masz "listy czułości" procesu, ani instrukcji wait, więc nie ma powodu żeby coś działało jak: wait until a='0' Chodziło mi o to, czy jeżeli w procesie użyje a<='0'; b<='1'; a<='1'; to podczas ustawiania...
quartus przy probie kompilacji raportuje: j.a To dlatego, że wredny html zamienia < na < i itp.. Tak to przejdzie syntax i syntezę. Ale mam kilka uwag: - jak robisz proces synchroniczny w liście czułości wystarczy sam zegar - z resetem synchronicznym używaj if rst then - else - end if - uzupełnij szerokość wektórw w display, używaj others...
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following lines to use the declarations that are -- provided for instantiating Xilinx primitive components. --library UNISIM; --use UNISIM.VComponents.all; entity wyswietlacz is port ( clk : in std_logic; d : in std_logic_vector(7...
W zasadzie to była moja wina. Kombinowałem, przeinstalowywałem ise, przeinstalowałem system, próbowałem nawet na innym komputerze. Problem był w tym że w jednym module (kontroler) miałem [syntax=vhdl] qw: process (clk, irq) is begin if clk ='1' and clk'event and irq ='1' and irq'event then adres <= adr_w; adr_w <= adr_w +"001"; end if; end process...
DLOADX ----> Struktura i sprzęt ----> Sprzęt , znajdź kontroler na liście. Tam będziesz miał listę urządzeń dodanych do kontrolera.
/.../Może coś powinienem zrobić inaczej? w przypadku prostych konstrukcji jak ram/rom lepiej chyba pisać kod, niż generować, twoje dzieło nie będzie wtedy zależne od konkretnego narzędzia, ise/quartus maja gotowe 'template' dla tego typu gotowych modułów; proces 'WriteIN', 'SWAP_P', powinien w liście czułości mieć tylko 'clk', i być trygerowany "clk'event";...
Muzykę wtedy "młodzieżową" słuchałem z Rozgłośni Harcerskiej. W niedzielę o godz.10.30 leciała lista przebojów RH i to było na falach krótkich. Zestroiłem sobie RH na słuch( chodzi o wyciągnięcie czułości) i byłem z tego zadowolony - można było słuchać bez zakłóceń a mieszkałem w Białej Podlaskiej. Radio do tego miałem całkiem nie złe, przenośne SPORT...
A symulacje robisz ?? Jeśli działa to program jest OK. wierz mi, że symulacje behawioralne, a implementacja na układzie to często dwie różne rzeczy, jasne że symulacja się przydaje, ale układ może bez problemu działać w symulacji, a na sprzęcie "wariować", ja np. dosyć szybko nauczyłem się, żeby nie używać "variable" tylko "shared variable", a głównie...
Wydaje mi się, że podstawowym problemem jest taka a nie inna wysokość montażu czujek. One będą reagowały na wszystko co porusza się na ich wysokości, także trawę, liście itp. Moim zdaniem sytuacja bez wyjścia.
Czy błąd działania układu wynika z braku elsów i powinienem go przekonstruować tak żeby w instukcji IF mogła być wykonana część przy zgodności warunku (po THEN) oraz przy niezgodności warunku (po ELSE)? Przy takiej prostej konstrukcji, warunki które nie spełniają, wykonują kolejne aż do ELSIF czy ELSE zgodnie z Twoimi oczekiwaniami w instrukcjach sekwencyjnych...
dorzućcie proszę dane dotyczące Waszych dekoderów Dekjoderów sprzedałem kilkadziesiąt. najlepiej pod wzgledem czułości i możliwości wypadła Fuba... najgorzej manta. manty akurat niue sprzedawałem ale zetknałem sie. Nie układa kanalów zgodnie z LCN. Po ułożeniu na liście po swojemu [potrafi sie to zmienić. Być może jest nowe oprogramowanie, które to...
Do tej pory pisałem w c i asm, z tego co słyszałem to asm jest podobny swą logiką do VHDL-u, stąd moje możliwe że i błędne rozumowanie, a literatura nie wyczerpuje realnej pracy na sprzęcie. ASM czy C, to języki liniowe, bazujące na danych i instrukcjach. Kod wykonuje się liniowo z góry na dół, z możliwością odwołania/skoku, gdzie indziej, ale nadal...
OK, więc bazując na moich doświadczeniach - nie za bardzo mogę teraz coś polecić. Ewentualnie Yaesu FT-65 - dość przyjemne radio bez wodotrysków - użytkowałem ponad tydzień - dobra obudowa, niezła modulacja, czułość i znośna funkcjonalność. W mojej okolicy śmiga kilkanaście sztuk w grupie prepersowej po mojej rekomendacji. Ale po stokroć wolę od niego...
Pamiętajcie, że zwiększając moc spada wam czułość. Wyżyłowanie jej na maksa nie jest dobrym pomysłem - grzeje wam się AP, siejecie na kilometr, do tego leci wam czułość i tylko grzejąc drugim takim przez liście macie w miare połączenie.. Popada to i tak Ci link szlag trafi.
Zacznijmy od tego ile chcesz wydać i co masz zamiar oglądać. Jeżeli interesują Cię tylko kanały niekodowane kup jakiegoś Fergusona FTA np 3018 lub rozwojowo 5018 który jest FTA ale ma 2 gniazda na moduły CI czyli masz otwartą drogę do dalszej rozbudowy. Jeżeli masz do wydania troche więcej czyli ok 400 - 500zł kup Fergusona 7018 - ma wbudowany czytnik...
Ja też nie, więc daj listę takich wentylatorów z cenami w porównaniu do takich bez czujnika. Taki moduł czujnika optycznego (z regulacją czułości) kosztuje 2 PLN z przesyłką. Montaż czujnika w wentylatorze to też nie jest temat pracy doktorskiej. Pomiar obrotów po stronie oprogramowania, jak dla Ciebie, jest trywialny ;)
Witam, wykrywacz z poradnika AVT-5196 OK, znam, ćwiczyłem z sukcesem, ale pod inną nazwą. Układ ma ciekawą i chyba burzliwą historię, do dzisiaj na nim jeździ cała Ameryka Pd i pół środkowej, ale tam znany jest pod nazwą PI Polones (jeśli względnie wiernie piracony), albo PI Brazil (jeśli z przeróbkami). W Afryce nazywa się jeszcze inaczej, ale tam...
To nie narzekanie, tylko moja opinia - najpoważniejszym jednak zarzutem jest niedopracowany software 30 sek na uruchomienie tunera ? - to mi się kojarzy ze starymi telewizorami , które musiały się nagrzewać ;-) najlepsze że autoryzowany serwis jak dotąd niema wciąć nic do powiedzenia w tym temacie. No cóż serwis nie odezwał się, Do listy minusów można...
Ojoj, od czego tu zacząć ? process(clock) is begin if (rising_edge(StepInc)) then SC <= SC + "0001"; Sum <= SC; end if; if (rising_edge(StepDec)) then SC <= SC - "0001"; Sum <= SC; end if; end process; To nie wygląda dobrze. To że w liście czułości masz 'clock', którego potem nie...
http://sklep.avt.pl/c/pl/177_637/1/2/0/l... - tu fajna lista. Oczywiście możesz poszukać w innych sklepach - chodzi mi tylko o możliwości. Jeśli znajdziesz odbiorniki o podwyższonej czułości powinieneś załatwić 1km bez problemu. Jeśli zastosujesz anteny kierunkowe YAGI na pasmo 70cm to jakość sygnału niezmiernie wzrośnie......
To układ potrzebuje aż 32 elementów Czy ktoś wie z czego może wynikać aż tak duża różnica? pewnie w logu syntezy jest informacja co zostało wyoptymalizowane i dlaczego; w pierwszym przypadku CD4017_wyjscie <= (others => '0') rejestr CD4017_wyjscie jest zawsze "0000" wiec kompilator go usunal i cala logikę, która od niego zależy, w drugim rejestr...
Witam serdecznie! Męczę się z odpaleniem tego kodu, bredzi że nie wie co to jest "+", Error (10327): VHDL error at rejestr_8bit.vhd(47): can't determine definition of operator ""+"" -- found 0 possible definitions proszę o pomoc :) LIBRARY ieee; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; USE IEEE.STD_LOGIC_UNSIGNED; ENTITY rejestr_8bit IS...
Wytłumaczyć można jeśli się pozna obiektywne przyczyny takiego stanu rzeczy. Możliwość wyszukiwania WSZYSTKICH kanałów zależy od wieli czynników. Mocy stacji nadawczej, odległości od tej stacji, warunków terenowych, Jakości instalacji antenowej, czułości głowicy itp. Możliwe, że gdzieś na kablu masz np. sproszkowany ekran lub na złączu "F" nie do końca...
Witam was wszytskich.Opyla się wam budować tego minipulsa?? Myślę że w wykrywaczach PI takie żeczy jak regulacja impulsu , wyświetlacze dyskryminacje wielkościowe itd..to niepotrzebne wodotryski.Co do kitu Jabla j267 , to złożyłem ich parę, i przy odpowiednich zmianach w układzie , i zmodyfikowanej konstrukcji cewki zasięgi są nawet do 10% lepsze niż...
A nie pomyślałeś o tym, że ta awaria była następstwem ciągłego wyłączania dekodera? Witam A cóż to by było za urządzenie, które psuło by się od wyłączania i włączania? A jeśli chodzi o usterkę, to jak się na końcu okazało, problem leżał w sieci (w jakichś tam rozdzielaczach czy rozgałęźnikach), a dekodery serwisanci wymieniali po prostu na "dzień dobry"....
Na podstawie Ustawy z dnia 30 sierpnia 2002r Prezes Urzędu Komunikacji Elektronicznej w związku z faktem że wyroby nie spełniają wymagań zgłosił listę w ramach kompetencji do Prezesa Urzędu Ochrony Konkurencji i Konsumentów który to wprowadził zakaz dalszego przekazywania wyrobów - użytkownikom, konsumentom i sprzedawcom. W przypadku nie usunięcia niezgodności...
Wiam. Jak w temacie poszukuję programu do serwisowego zarządzania radiem YAESU VX 3000. Nie chodzi mi o program do programowania listy ch. lecz o taki, który pozwala między innymi na zmianę mocy wyjściowej podporządkowanej do funkcji MEDIUM / HI/LOW i ustawienie wymaganej czułości. Szukałem w necie lecz nic nie znalazłem :(
Nie ma co liczyć na stabilną pracę FET-a z wiszącą bramką - zwykle odpływa poza zakres aktywny, wystarczą do tego ładunki, które są w powietrzu, albo się pojawią od potarcia czegokolwiek - podłogi, dywanu. A po jakiego grzyba guard ring w takim układzie? I tak niczego nie poprawi - zwiększy czułość, bo wyeliminuje część ujemnego sprzężenia, za to pogorszy...
Witam , dopiero raczkuje w praktycznym wykorzystaniu FPGA w języku VHDL, jednak co nie co umiem. A więc: mam napisaną obsługę SPI, która działa dobrze z STM32. Problem polega na tym, że dioda wskazująca otrzymanie nowych danych zachowuje się źle(np zmieni stan co 4 lub 5 bajt) gdy kod wygląda tak: --Parsowanie odebranych danych process (SPI_Busy)...
Każdy odbiornik DVB-T ma inną czułość głowicy i może być tak, że na jednym odbiorniku jest odbiór, a na innym nie. Przy czym może to działać w obie strony - dekoder zewnętrzny może być znacznie czulszy od odbiornika TV, a może być i całkiem odwrotnie. Niektóre Samsungi wymagają bardzo dobrego poziomu i jakości sygnału. Przy połączeniu po HDMI powinna...
Nie będziesz w stanie zmienić oprogramowania bez tymczasowego wylutowania tych rezystorów, a następnie przylutowania ich z powrotem. Kolejnym problemem jest to, że to urządzenie nie ma jeszcze gotowej konfiguracji. Musielibyśmy współpracować, aby wymyślić konfigurację. To urządzenie jest również problematyczne, ponieważ MCU wydaje się kontrolować moc...
X300 to dobry wybór, x500 pomimo swych zalet na papierze nie za bardzo się spisuje przy wyższych częstotliwościach. Obie anteny są tylko kawałkiem druta dla pasma lotniczego ale jak piszesz - daje radę. Najgorszym jednak wyborem do nasłuchów jest ten wouxun. Szybko się zorientujesz, że jego skaner działa bardzo powoli a zarządzanie pamięcią to męczarnia....
Trzeba zacząć od tego, że potencjalnie tylko dla mux-3 masz w zasadzie gwarancję odbioru tego mux-a na antenie wewnątrz pomieszczenia - i to, przy założeniu, że masz odpowiednią antenę . Mux-1 i 2 wewnątrz pomieszczenia możesz odebrać, ale wcale nie musisz - bo te mux-y nadawane są z założeniem odbioru na antenach zewnętrznych. Jeden odbiornik...
Witam, Mam podobny przypadek jak kolega waco . Jestem amatorem, więc z góry przepraszam za wszelkie pomyłki i proste pytania, które dla mnie są trudne, a dla doświadczonych kolegów pewnie banalne. Po przestrojeniu według opisu z SE 4/2000 heterodyna pracuje na częstotliwościach 79,5MHz-100MHz, czyli o wiele za nisko (sprawdzone poprzez RTL-SDR) Jak...
Pytanie jak w temacie. Pierwszy i ostatni jbl mają czułość 91 dB i pasmo zaczynające się od 50 Hz a trzeci na liście 92 dB i od 45Hz. Pionier ma 2 lata http://www.allegro.pl/item111388873__jbl... http://www.allegro.pl/item112066092_glos... http://www.allegro.pl/item112074076_jbl_...
1. Odbiornik radiowy 300mV 470k 2. Magnetofon 300mV 470k 3. Gramofon I 5mV 47k 4. Gramofon II 300mV 1M Do którego najlepiej podłączyć? Jaka jest różnica pomiędzy tymi wejściami i co oznacza np. 300mV 470k I czy do któregos z pozostałych wejsc moge podłączyć telewizor? Z góry dziekuje za pomoc! Pozdrawiam W. Komputer i TV możesz podłączyć do wejść 1,2...
Ja nie mam nic żalu do iPhone Se 2016. Serio! Dowód rzeczowy: https://obrazki.elektroda.pl/7240515800_... Jedyny żal mam do Aple o to, że porzucili wymiary iPhone Se 2016 na rzecz większych. Moim zdaniem stracą trochę klientów na tym myku. Wymiary iPhne 5, 5s oraz Se były dosłownie tym, jak ciężki i wielki powienien być każdy smartfon...
Jak chcesz nasłuchiwać kilka częstotliwości to ujdzie od biedy. Choć jego logika jest dziwna (przejście z trybu VFO na pamięci). Jeśli nie przeszkadza skanowanie z prędkością ok 2,5 kanałów na sekundę (mój zabytkowy Realistic PR 32 z 1987r popyla 8 kanałów/s) to typowo do odbioru poleciłbym jednak TYT TH UV3R. Ma funkcje bardzo przydatne podczas skanowania...
SiC stał się już faktem w energoelektronice. Bez tego materiału długo np. diody Schottky'ego nie mogły wyjść poza 100V napięcia przebicia a dzisiaj na SiC 1.2kV. Na upowszechnienie tej technologii będzie potrzeba jeszcze z 10 lat zanim nie stanie się na tyle powszechna, że zaczną znikać z list produkcji stare elementy. Nie wiem jak mocno obecnie są...
Nikt w twoich myslach nie bedzie czytał,najpierw do porównania do Opticuma stawiasz tuner z innej półki HD,nastepnie wymieniasz modele producenta FK6900 CR i FK7900UCI,są jeszcze jakieś modele które można wystawić do testów jako konkurencje ze stajni Ferguson do Opticum 7300 czy to juz koniec listy? Kolega wojtek_1985 porównywał jedynie czułość głowic...
żarówka zwykła"żarowa" 1 kandela to teoretycznie jedna świeca , chyba do zrealizowania bez większych trudności technicznych nawet w XXI wieku. :) http://www.anees.com/figure/7.4.gif http://anees.com/7.html tu znajdziesz odpowiedzi na dręczące Cię pytania ....niestety "po angolu" ale są obrazki człek inteligentny zrozumie. http://anees.com/content.html...
Widok PCB. Listę elementów masz ? Jeżeli nie, to porównaj ze schematem ideowym. Zwróć tylko uwagę na wspomniany wcześniej opornik r14, bo schemat ideowy i montażowy rozbiegają się w wartościach tego opornika.
Dobry akumulatorek i izolowanie elektroniki watą A potem poczekaj, aż zdjęcie Twojego wynalazku trafi do działu "Śmieszne fotki zabezpieczeń". Następnie czytaj teksty ośmieszające Twoją konstrukcję w 21 wieku. Kolejny etap to czas na wstyd. To dość specyficzny przypadek. Nieważne że można coś zrobić normalnie, grunt żeby zrobić to na SATEL-u. Nawet...
W "Part list TS-440S" jest tylko NR wg Kenwood'a nie znalazłem typu. Oczywiście są węższe ale np. [url=http://www.datasheetarchive.com/dat... 33 - 455 SERIES I i IT są najwęższe. Nie przesadzaj z tym zawężaniem pasma przepustowego. Przy standardowej dewiacji 3-5kHz możesz mieć zniekształcenia, na ucho tego nie wyczujesz, ale...
Bardzo dużo dekoderów Wiwa zamontowałem przed 10 laty w okresie przejścia z analogu na cyfrę. Większość działa do dzisiaj. Są głosy, że nowe modele WIW-y to już nie to samo co dawniej. Trudno to potwierdzić. Wszystko wyjdzie "w praniu". Ferguson ma swoich zagorzałych zwolenników, jak i przeciwników. Jednym słowem - ilu użytkowników, tyle opinii. Ja...
Witam, dopiero zaczynam z VHDL, stworzyłem sobie prosty 2 procesowy automat i mam problem gdyż w żaden sposób nie mogę przesunąć zawartości sygnału a0 o jeden bit w lewo :/ próbowałem różnymi sposobami znalezionymi w internacie i wynik nie jest zadowalający. W aktualnej wersji z pętlą, na wyjściu przed przesunięciem jest 11110000 a po 01111111. Nie...
Gwoli wyjaśnienia - Regulacji pradu spoczynkowego nie ma, bo jest ustawina "raz na zawsze" przez producenta w czasie produkcji hybrydy (STK). Przesłuchy (jak Koledzy mówili wcześniej) są spowodowane przez samą konstrukcję wzmacniacza - przenikanie sygnałów poprzez pojemnosci montażowe itp. Też nic z tym nie da się za bardzo zrobić. A co do czyłości...
Kliknij prawym na głośniczek na dolnym pasku koło zegarka. Potem wybierz urządzenia do nagrywania. Następnie znajdź swój mikrofon na liście, kliknij prawym i ustaw urządzenie jako domyślne. Jeżeli jest już ustawione jako domyślne i nadal nie działa to sprawdź jeszcze właściwości. Może tam być coś takiego jak Microphone boost, ewentualnie ustawienia...
Kolejne trolowanie? Podłapanie słowa Samsung, marki której się nie znosi za jej ziarno, przeszukanie internetu, żeby znaleźć cechę EX-a, która go zdyskwalifikuje w aspekcie fotografii i zarzucanie przy tym zmuszania do jego zakupu. Niestety nie dostrzegł całej wypowiedzi, tylko przytoczył wygodny dla siebie jej fragment. Przytoczone przez autora źródło...
http://obrazki.elektroda.pl/6635807500_1... Witam wszystkich elektrodowiczów i majsterkowiczów. Chciałbym wam przedstawić moją Ruchomą Głowę LED, która powstawała 3 miesiące z racji braku czasu i długiego oczekiwania na podzespoły mechaniczne jak i elektroniczne. Pomysł na jej budowę zaczerpnąłem w dyskotece. Najpierw zbudowałem Stroboskop,...
Witam, od jakichś 3 miesięcy jestem użytkownikiem LG Cookie KP500. Telefon jest w miarę wyposażony, posiada kilka ciekawych gadżetów, jego obsługa jest w miarę intuicyjna i tu niestety kończą sie zalety tego telefonu. Bateria jest beznadziejna, przy normalnym użytkowaniu (dzwonienie, odbieranie i pisanie,odbieranie sms )potrafi nie wytrzymać nawet 1...
czy trzeba skalibrowac kierownice tracer drifter? Witaj, Chociaż kierownicę Tracer Drifter często można natychmiastowo podłączyć i zacząć używać, kalibracja jest zdecydowanie zalecana, aby mieć pewność, że urządzenie działa jak najefektywniej. Kalibracja pozwala na optymalizację wielu aspektów kierownicy, w tym czułości, zakresu ruchu, siły sprężystej...
Agent, za dużo pisania/tłumaczenia, ogólnie dla serwisanta telefonów komórkowych nie są to pojęcia obce , masz tutaj miedzy innymi ustawienia szybkości portu transmisji danych, głośności radia, tonu klawiatury, podrasowania czułości mikrofonu , kalibracji lcd itp dupereli. ze swojej strony, mimo iż tłumaczyłem to menu na pl, nie bardzo mam czas na tłumaczenie...
https://obrazki.elektroda.pl/1078660400_... Dekodowanie Tuya JSON pozwala na automatyczną konfigurację szablonu GPIO urządzenia. W przeciwieństwie do Tasmoty, gdzie wszystkie role GPIO muszą być określone ręcznie, flasher BK7231GUIFlashTool jest w stanie wypakować konfigurację Tuya, dzięki czemu może automatycznie określić, który pin...
Najbardziej z tej listy oprócz w/w rzuca mi się w oczy 77-114 NEW bardzo dobre radio z małą wadą, którą jest kulawy squelch i mała niedoróbka w czułości radia, którą można szybko usunąć. O CB radiach można by pisać w nieskończoność np. taki głupi alan 48/78 zająłby mi cały monitor czemu po prostu nie pójdziesz do kogoś, kto potrafiłby Ci wytłumaczyć...
Więc kupiłem dla siebie jedną sztukę ICOM IC-U20SR do testów. Opakowanie Radiotelefon jest przeznaczony dla biznesu, więc zgodnie z japońskimi standardami sprzęt przyszedł zapakowany w zwyczajny karton z czarnymi napisami i skromnymi informacjami o możliwościach sprzętu. Wewnątrz także sklejka z kartonu w którym umieszczono osobno radiotelefon, akumulator...
Jeśli dobrze widzę, to transmisja ma się odbywać po jednym włóknie światłowodu jednomodowego... 1. Przy transmisji jednym włóknem, kierunek transmisji jest rozdzielony jest długością fali światła... np. w kierunku A->B mamy 1310nm a w kierunku B->A 1550nm, czyli inaczej mówiąc, to co wyśle nadajnik, odbiornik musi być w stanie odebrać. Wskazane wkładki...
...wtedy chyba immobilazjer już będzie rozkodowany bo kluczyk będzie w stacyjce... Niestety to nie tak jak sobie wyobrażasz. Trzeba zamontować bypas i będzie odpalał na pilota, są tez inne metody ale to już wypada ustalić z montażystą. Wybierz kogoś z listy instalatorów [url=http://www.exus.pl/sale,,all]Exus-a i zrobią to jak trzeba (tak, że będzie...
Układ został uruchomiony i pracuje poprawnie. Zastosowałem generator z testeru kwarców oraz wejście typowego miernika częstotliwości. Lista zmian w układzie (dla potomnych): Obwód generatora kwarcowego C22 -> 220p C25 -> 180p C21 -> nie montować R25 -> nie montować R20 -> 100k R27 -> 1k Odłączyć wejście generatora od przełącznika funkcyjnego i zastosować...
TP-Link TL-WR1043ND/WDR3600/WDR4300. Pierwszy ruter: Wady - przeciętna czułość i zasięg radia, pomimo 3 anten - niedopracowane sterowniki WiFi (Gargoyle by obsy) - kiepsko działający "printserwer" USB, niekompatybilny z wieloma drukarkami (Gargoyle by obsy) Drugi ma takie same opinie. Nie wiem kto opiniuje ten sprzęt ale jak z taką ilością anten sprzęt...
czułości przetwornika pomiar czułości ustawienie czułości
obliczać obwód metoda oczko usterka telewizor philips kolory strumienica zamiast katalizatora
Świecąca kontrolka poduszki powietrznej po zalaniu siedzenia Moment zaczepowy w silnikach BLDC - wartości i wpływ