REKLAMA

oscylatory kwarcowe

Znaleziono około 658 wyników dla: oscylatory kwarcowe
  • Praktyczna różnica między oscylatorem (generatorem) kwarcowym, a rezonatorem

    Jest kilka starych wątków na ten temat, ale żadnych konkretów. Zaletą oscylatora jest to, że nie wymaga dodatkowych kondensatorów i używa jednej nogi procesora. Wymaga jednak zasilania, jest droższy i trudniej dostępny. Coś jeszcze? Warto szukać oscylatora do średnio zaawansowanych aplikacji czy wystarczy rezonator?

    Mikrokontrolery   06 Maj 2015 10:03 Odpowiedzi: 4    Wyświetleń: 1263
  • Tutorial PIC18F2550 + SDCC - Część 3 - Ustawienia oscylatora, zegar, PLL

    Witajcie moi drodzy Oto trzecia część mojego praktycznego tutoriala dla ośmiobitowego mikrokontrolera PIC18F2550 od Microchipa i programatora SDCC . W tym temacie najpierw krótko omówię różne konfiguracje oscylatora PIC18F2550 - oscylator wewnętrzny (internal oscillator), zewnętrzny (zegarowy i kwarcowy), funkcjonalność PLL ( Phase Locked Loop ), itp.,...

    Artykuły   07 Lut 2020 18:46 Odpowiedzi: 1    Wyświetleń: 3006
  • Programowanie AVR. Muszą mieć podłączony oscylator?

    Witam. Mam takie pytanie: czy podczas programowania AVR musi być do nich podłączony oscylator (rezonator kwarcowy)? Pozdrawiam.

    Mikrokontrolery   11 Maj 2007 23:35 Odpowiedzi: 2    Wyświetleń: 1007
  • REKLAMA
  • Niewłaściwy przebieg na wyjściu generatora kwarcowego.

    ... Problem w tym układzie jest z generatorem kwarcowym, mianowicie na jego wyjściu generuje przebieg mocno odkształcony ... Problem częsciowo rozwiązany... Ale kształt napięcia pozostaje taki sam (5,32Vpp). Problemem jest niewlasciwa sonda i/lub oscyloskop, stad znieksztalcenia formy i amplitudy (przy zasilaniu Vdd = 3.3V napiecie wyjsciowe oscylatora...

    Projektowanie Układów   22 Maj 2010 11:24 Odpowiedzi: 10    Wyświetleń: 3821
  • sb live 4670 oscylator HOSONIC 24,576 mhz h8n, gdzie dostac?

    Możesz mieć problem ze zdobyciem tego generatora kwarcowego 14DIL, Creative robiło go dla siebie, jest dostępny w wersji 8DIL na www.elfa.se Najlepiej jak byś sprawdził oscyloskopem jaki ma kształ wychodzący z generatora sygnał i jaką ma amplitudę i czy niezmienia kształtu po podłączeniu do karty (poziom amplitudu ok.4,8V, bowiem żadko uszkadzają się...

    Komputery Hardware   15 Mar 2004 17:34 Odpowiedzi: 2    Wyświetleń: 1208
  • REKLAMA
  • Nowe oscylatory MEMS dla aplikacji mobilnych

    Firma SiTime wprowadziła na rynek nową rodzinę 32kHz oscylatorów MEMS - SiT15xx, mającą na celu zastąpić starsze rezonatory kwarcowe. Nowa rodzina adresowana jest do aplikacji mobilnych jak smartfony i tablety, w których liczy się niewielki rozmiar i niski pobór mocy. Jak twierdzi firma, nowe oscylatory, które walczą o rynek urządzeń używających dotychczas...

    Newsy   28 Mar 2013 16:23 Odpowiedzi: 0    Wyświetleń: 1911
  • Attiny 2313, DS18b20, zewnętrzny oscylator procesora

    Witam, chodzi o ten program: a mianowicie o uruchomienie zewnętrznego rezonatora kwarcowego. Na zdjęciu pokaże ustawienia fusebitów, co należy przestawić?

    Mikrokontrolery AVR   20 Lut 2010 21:21 Odpowiedzi: 2    Wyświetleń: 1788
  • Kwarcowe rezonatory i generatory zegarowe - kompendium

    Zdolność precyzyjnego odmierzania czasu leży u podstaw rozwoju całej ludzkiej cywilizacji. Stworzenie zegara, który działa w każdej pozycji i w każdych warunkach leżało u podstaw nawigacji morskiej. O ile szerokość geograficzną da się obliczyć na podstawie wysokości słońca nad horyzontem, o tyle określenie długości wymaga wiedzy, która jest godzina...

    Poradniki Elektronika   16 Paź 2022 18:05 Odpowiedzi: 45    Wyświetleń: 4320
  • Oscylatory MEMS poszerzają możliwości sieci optycznych

    Wdrożenie sieci 5G przyczyni się do ogromnego postępu w komunikacji — 10-krotnego zwiększenia przepustowości i 50-krotnego zmniejszenia opóźnień. Aby osiągnąć tak znaczącą poprawę, w szybkim tempie opracowywane są różne technologie, w tym urządzenia i komponenty używane w centrach danych. Jednym z przykładów są transceivery optyczne odpowiedzialne...

    Artykuły   08 Gru 2021 16:37 Odpowiedzi: 3    Wyświetleń: 723
  • MEMSowe oscylatory SiTime do synchronizacji systemów 5G

    SiTime, ugruntowawszy swoją pozycję w sektorze oscylatorów, ogłosiło wejście na rynek chipów zegarowych, z pierwszym systemem zegarowym MEMS, integrującym rezonator MEMS, oscylator i układ scalony zegara. Firma twierdzi, że ten poziom integracji eliminuje potencjalne problemy związane z używaniem innych rodzajów zegarów wykorzystujących zewnętrzne...

    Newsy   01 Wrz 2020 15:43 Odpowiedzi: 0    Wyświetleń: 495
  • Bracia Radiowcy Oscylator = Rezonator ??

    Tak, jak na zdjeciu, kiedys stosowano w komputerach i byc moze jeszcze sie stosuje - nie wiem, wlasnie takie oscylatory kwarcowe. Podlaczanie ich zamiast kwarcu mozna zrealizowac, ale nie wiem jak. Nigdy nie probowalem i nie chce wprowadzic w blad. Pewnie wyglada to tak, ze rezonator jest podlaczony do dwoch nozek od jakiegos scalaka na plycie, ktore...

    Komputery Hardware   01 Gru 2004 22:53 Odpowiedzi: 2    Wyświetleń: 907
  • Generator kwarcowy. Jak w tym obwodzie powstają drgania?

    Aby uzyskac generator musi być dodatnie sprzęzenie wzrotne na wzmaniaczu. Twoim wzacniaczem jest tu bramka NOT - negator , której wyjście połaczone jest z wejściem poprzez R oraz X (-oscylator kwarcowy) który znajduje się w pętli sprzężenia zwrotnego (jak wiadomo taki oscylator mozna prawie że przyrównac do obwodu rezonansowego RLC) A jakby się ktos...

    Początkujący Elektronicy   11 Mar 2006 10:29 Odpowiedzi: 6    Wyświetleń: 2746
  • REKLAMA
  • Nowy rodzaj oscylatorów do implantów wyposażonych w transceivery

    Arash Moradi i Mohamad Sawan z Politechniki w Montrealu w Kanadzie, opowiadają w poniższym artykule, o swoim nowym projekcie, oscylatora sterowanego napięciowo (VCO) o zmniejszonym poborze mocy. Układ ten dedykowany jest do aplikacji w implantach medycznych, gdzie miałby zapewnić możliwość modulacji FSK transceiverom radiowym, w tych implantach. Jak...

    Newsy   13 Lis 2014 15:07 Odpowiedzi: 0    Wyświetleń: 1917
  • [LPC1768] Wbudowany oscylator

    Musisz po prostu pamiętać, że kwarc to jest REZONATOR (czyli coś co ma rezonans) i on sam w sobie jest bezużyteczny - trzeba go podłączyć do OSCYLATORA (generatora). Generatory mogą być różne np. RC (jak ten wbudowany) czy kwarcowe (jak w 99,666% mikrokontrolerów). Oscylator kwarcowy to taki oscylator, którego kluczowym elementem (wpływającym na częstotliwość)...

    Mikrokontrolery ARM   23 Lis 2011 20:27 Odpowiedzi: 4    Wyświetleń: 1308
  • Rezonator kwarcowy, Problem z uruchomieniem układu RTL8367RB-VB-CG

    Dzień dobry, Próbuje uruchomić RTL8367RB-VB-CG wszystko inne wydaje się być podpięte ok, niepokoją mnie tylko odczyty na nóżkach XTALI i XTALO (sonda oscyloskopowa przyłożona raz do XTALI i masy układu a raz do XTALO i masy układu). Według mnie w momencie gdy w te nóżki wpięty jest rezonator kwarcowy na oscyloskopie powinien być widoczny przebieg sinus...

    Mikrokontrolery   16 Lis 2020 16:58 Odpowiedzi: 2    Wyświetleń: 264
  • Generator kwarcowy 36Mhz. Czy układ 74hc04 może być? AT89C52.

    a co sie stalo z wewn.obwodem oscylatora w 89C52 ? nie mozna wykorzystac ? (rezonator kwarc. 36MHz) albo czterokoncowkowy generator kwarcowy 36MHz i doprowadzasz sygnal do jednej koncowki oscylatora uC

    Projektowanie Układów   09 Kwi 2005 21:21 Odpowiedzi: 11    Wyświetleń: 1798
  • błąd dyskretyzacji zegarka kwarcowego

    Sądziłem, że błąd dyskretyzacji odnosi się do niedokładności wynikającej z przetwarzania A/C a powodowany jest przez krok kwantowania. Oscylator kwarcowy nie przetwarza czasu na wartość o skończonej ilości kwantów, lecz wyznacza odcinki czasu o zadanej długości. Jaka jest dokładność oscylatora to kwestia precyzji samego kwarcu. Przyjmując, że kwarc...

    Początkujący Elektronicy   11 Gru 2008 08:48 Odpowiedzi: 1    Wyświetleń: 1265
  • Rezonator kwarcowy, atmega

    Hmmm... posiadam procesor firmy atmel atmega8-16 PU 0848G. Jakoś nic nie moge o nim znaleźć... To jest Atmega8. To co jest dalej nadrukowane to wersja procesora (max 16MHz, a PU to jak dobrze pamiętam to rodzaj obudowy - w tym wypadku obudowa do montażu przewlekanego DIP). Pozostałe cyfry to seria albo coś w tym rodzaju. Podejrzewam ze posiada on ten...

    Początkujący Elektronicy   19 Lip 2009 12:53 Odpowiedzi: 6    Wyświetleń: 4492
  • Epson wprowadza do oferty kompaktowy oscylator atomowy

    Firma Seiko Epson opracowała niewielki i bardzo stabilny oscylator atomowy. AO6860LAN to oscylator atomowy dedykowany do wykorzystania w aplikacjach sieciowych i przemysłowych. Nowoopracowany oscylator zaprezentowano 4 listopada zeszłego roku na targach ITSF 2015, które odbyły się w Edynburgu w Wielkiej Brytanii. Seiko Epson przewiduje rozpoczęcie...

    Newsy   07 Sty 2016 19:03 Odpowiedzi: 0    Wyświetleń: 1947
  • Poszukuję generator kwarcowy 100Mhz

    Czy ktoś juz sprawdzał ten oscylator KXO-200 , czy on będzie stabilnie chodził?. Pytam dlatego gdyż jest on na 5V, a w unloopku jest 3,3V. Jeśli mu obniżymy napięcie zasilania, to mogą być problemy ze startem i stabilnością, możemy także zmienić lekko układ , zasilając go 5V, ale wówczas sygnał z niego podawany xylinixowi będzie miał amplitudę ok. 0,9*5V,...

    Elementy elektroniczne Bazar   24 Maj 2006 10:06 Odpowiedzi: 6    Wyświetleń: 3891
  • Precyzyjny oscylator 266MHz do aplikacji pamięci DDR.

    Maxim wprowadził na rynek nowoczesny układ oscylatora o częstotliwości 266MHz zaprojektowany specjalnie do taktowania układów pamięci DDR. Układ ten gwarantuje dużą dokładność wypełnienia sygnału zegarowego wynoszącego 48%/52% oraz bardzo niski jitter (szum fazowy) poniżej 1ps i jitter pomiędzy oscylacjami typowo ±7.5ps. Tak wysoka dokładność...

    Newsy   10 Mar 2009 20:16 Odpowiedzi: 0    Wyświetleń: 1258
  • Programowanie ATMEGA8 - pytanie o rezonatory kwarcowe - kiedy?

    Widzisz - moim zdaniem myli ci się to wszystko bo pełno ostatnio w necie takich to rozwiązań programatorów gdzie ktoś wymyśla jakieś całe płytki z podstawkami do programatora. Po co to ???? Po to mamy nowoczesne mikrokontrolery żeby programować je bezpośrednio w układzie a nie cofać się do przeszłości i robić podstawki a potem wachlować prockiem pomiędzy...

    Mikrokontrolery AVR   24 Kwi 2012 15:10 Odpowiedzi: 3    Wyświetleń: 1542
  • ATMega8 TQFP - kłopoty z zewnętrznym oscylatorem

    Witam serdecznie Napisałem krótki program wykorzystujący asynchroniczny licznik Timer2. Jako rezonator kwarcowy posłużył mi oscylator zegarkowy (32.768kHz). Program ma za zadanie generowanie przebiegu 1Hz na porcie PD1. Program: [syntax=c]#include <avr/io.h> #include <avr/interrupt.h> #include <util/delay.h> #define TIMER2_BUSY((ASSR...

    Mikrokontrolery AVR   29 Sie 2011 14:26 Odpowiedzi: 8    Wyświetleń: 2842
  • Oscylator TCXO z niskim szumem fazowym od firmy IQD

    Firma IQD wprowadziła na rynek nową serię generatorów kwarcowych kompensowanych temperaturowo (TCXO) - IQXT-60 z bardzo niskim poziomem szumu fazowego, zamkniętych w niewielkiej obudowie. Szum fazowy na poziomie -63dBc/Hz przy częstotliwości 10Hz oraz -161dBc/Hz przy częstotliwości 100kHz wraz z niskim poborem prądu wynoszącym tylko około 5.0mA, tworzy...

    Newsy   19 Cze 2013 18:49 Odpowiedzi: 1    Wyświetleń: 2160
  • Jak wykorzystać wewnętrzny oscylator kontrolera ?

    Kontroler (mały PIC) taktowany jest wewnętrznym oscylatorem z zewnętrznym kwarcem. Chciałbym sygnał z tego oscylatora wykorzystać dla dodatkowych urządzeń. Jak to zrealizować ? Jak podłączyć się do kwarcu żeby nie zrywał drgań ? Może jakiś wtórnik emiterowy ? Chodzi mi głównie o to, żeby nie budować dodatkowego generatora kwarcowego na scalaku. Czy...

    Mikrokontrolery   31 Sty 2005 14:48 Odpowiedzi: 1    Wyświetleń: 1068
  • Jak zmienić konfigurację timer'a i oscylatora?

    Witam serdecznie, mam problem który polega na dostosowaniu programu działającego na AT90S8535P do Atmega8. Dokładniej problem polega na tym że Atmega8 nie posiada wyjść tosc1/2. Poradzono mi aby sam uC pracowal na wewn. układzie RC a zegar na zewnetrznym oscylatorze. Szukałem info na temat zmiany konfiguracji ale nie mogę wymyśleć co zmienic w kodzie...

    Mikrokontrolery AVR   21 Lut 2009 11:24 Odpowiedzi: 2    Wyświetleń: 1437
  • Oscylator lc z użyciem detektora wartości maksymalnej

    Witam. Planuję poskładać urządzenie jak w temacie. Ma to działać w ten sposób, że generator hartleya początkowo pracuje w klasie a. Po osiągnięciu odpowiedniego poziomu napięcia na jego wzmacniaczu (prawdopodobnie do tego użyję wzmacniacza operacyjnego ) bedzie podane napięcie, które będzie przesuwało klasę do b, c; a w końcu gdy poziom sygnału będzie...

    Początkujący Naprawy   18 Maj 2011 18:52 Odpowiedzi: 0    Wyświetleń: 852
  • Zmiana kwarcu w oscylatorze z 11 MHz na 13.56 MHz - wpływ na układ

    Cześć próbuję stworzyć oscylator na podstawie generatora kwarcowego dającego na wyjściu sinusa o częstotliwości 13.56 Mhz i mam problem ponieważ wszystkie oscylatory których schematy są zamieszczone na internecie są na niższe częstotliwości i czy np jakbym w tym schemacie podmienił 11 Mhz na 13.56 to czy nic się nie stanie Czy wartości kondensatorów...

    Początkujący Elektronicy   23 Lip 2020 16:29 Odpowiedzi: 4    Wyświetleń: 498
  • JVC RV-NB100B - Wyłącza się, (KDS5K oscylator ?) schemat.

    Witam wszystkich. Sprzęt zachowuje się dość dziwnie, po podłączeniu do sieci zapala się dioda przy włączniku on-off jest ok. Próba włączenia tym przyciskiem nic nie daje. Naciśnięcie dowolnego klawisza załącza sprzęt ponowne naciśnięcie tego klawisza wyłącza. Klawiatura została sprawdzona jest ok. Podejrzewam oscylatory kwarcowe ale nie mam schematu...

    Audio Początkujący   25 Sie 2022 22:58 Odpowiedzi: 4    Wyświetleń: 162
  • ATMEGA 16 PROBLEMY Z OSCYLATOREM

    CKOPT jest dla oscylatorów kwarcowych > 8MHz

    Mikrokontrolery   13 Lis 2005 17:16 Odpowiedzi: 9    Wyświetleń: 1382
  • Atmega8 zewnętrzny oscylator 8MHz

    AD. 1 Wszystkie CKSEL i CKOPT na 1 (at)1-8MHz (zewnętrzny oscylator kwarcowy) dla nowych AVR. AD. 2 Ściągnij najnowszą wersję programu, ślicznie pokazuje 1 i 0.

    Mikrokontrolery   18 Mar 2006 09:31 Odpowiedzi: 4    Wyświetleń: 2927
  • Wbudowany oscylator ATMega

    W pracy wykonalismy urzadzenie na ATiny2313. Kolega w projekcie poskapil rezonatora kwarcowego i teraz przy kazdej wyprodukowanej sztuce latam z miernikiem i kalibruje wewnetrzny oscylator. Generalnie wystepuja odchylki (dla 8Mhz) okolo +-0.15Mhz maksymalnie. Ale zdarza sie sporadycznie sztuka gdzie czestotliwosc wynosi np. 7.3Mhz i takie cos rozwala...

    Mikrokontrolery   16 Kwi 2006 11:56 Odpowiedzi: 4    Wyświetleń: 2238
  • [Atmega8 <=> pc] na wewnętrznym oscylatorze

    Witam. Od niedawna zacząłem interesować się mikrokontrolerami, konkretnie avr. Zafundowałem sobie atmega8 i udało mi się zapanować nad jego portami i pinami. Teraz przyszła mi ochota na komunikacje atmega8 z pc. Na wszystkich schematach jakie znalazłem w sieci (atmega8 <=> rs232) zastosowany jest zewnętrzny rezonator kwarcowy z dwoma kondensatorami....

    Mikrokontrolery   28 Sty 2007 13:29 Odpowiedzi: 17    Wyświetleń: 2813
  • Oscylator. W jaki sposób dobiera się kondensatory?

    Witam, Przeciez pokazalem ... palcem, ze ....przeważnie to w DataSheet do danego µCPU są podane wartości pojemności dla danej częstotliwości generacji. to bzdura! Nalezy czytac Data Sheets i to czytac ze zrozumieniem . ano właśnie i kto to napisał? Proszę, widać muszę udowodnić, iż nie jestem "wielbłądem"... Tu linka do najpopularniejszego , a...

    Początkujący Elektronicy   06 Lip 2007 11:22 Odpowiedzi: 7    Wyświetleń: 2546
  • LCD NEC 1701 uszkodzony oscylator

    Na płytce elektroniki matrycy mam uszkodzony oscylator kwarcowy o takim symbolu 14,3x3CF. Nie mogę takiego dostać. Czy można go zastąpić innym. Dziękuję za podpowiedzi [/b]

    Monitory Serwis   23 Lis 2007 20:45 Odpowiedzi: 4    Wyświetleń: 1139
  • Generator Kwarcowy 16MHz Dil8

    oscylator to jest a ja potrzebuje do generaora ;] GENERATOR KWARCOWY 16MHZ DIL8

    Projektowanie Układów   07 Sty 2008 19:06 Odpowiedzi: 12    Wyświetleń: 4123
  • Oscylator RC 8MHz - Jak zrobić taki układ ?

    Pozdrawiam Mariusz Dodano: chodziło o zewnetrzny oscylator RC; ten układ jest generatorem kwarcowym.

    Początkujący Elektronicy   19 Gru 2008 17:49 Odpowiedzi: 4    Wyświetleń: 5060
  • rezonator kwarcowy 3,2768 Mhz

    Witam. ...Jeżeli ktoś mógłby podać jakiś schemat ... Oscylatory kwarcowe na standardowych TTL bywaja problematyczne i nie sa godne polecenia, ale mowisz i [url=]masz. Bramki rodziny CMOS 4000 zasilane z 5V sa w stanie sterowac jedno wejscie 74LS. Dopuszczalne jest rownolegle laczenie kilku identycznych bramek CMOS typu NOT (lub NAND/NOR polaczonych...

    Początkujący Elektronicy   08 Sty 2009 20:38 Odpowiedzi: 2    Wyświetleń: 2953
  • ATtiny13 + rezonator kwarcowy - podłączenie

    Po pierwsze przywitam się, jako że to mój pierwszy post :) Problem mam następujący: nie wiem jak podłączyć rezonator kwarcowy (najlepiej 20 MHz) do układu ATtiny13. Wewnętrzny oscylator jest dla mojego projektu zbyt wolny. Do tej pory zajmowałem się tylko większymi atmegami (8051 i ATmega16). Tam jest wszystko jasne - podpinamy rezonator z dwóch stron...

    Mikrokontrolery   24 Kwi 2009 11:23 Odpowiedzi: 5    Wyświetleń: 2367
  • Układ generatora kwarcowego -w obudowie metal./8 MHz/-jakie ma podłaczenia

    Zakupiłem na allegro generator kwarcowy 8 MHz. (). Układ ma 5 wyprowadzeń i nie mam pojęcia, jaką rolę pełnią poszczególne wyprowadzenia. Gdzie jest wyjście sygnałowe? Jakie jest napięcie zasilania generatora? Nie moge nigdzie znaleźć noty aplikacyjnej... Proszę o pomoc! Pomoc otrzymasz, ale tylko w postaci podpowiedzi ... Przecież masz tam - na fotce...

    Początkujący Elektronicy   10 Cze 2009 21:17 Odpowiedzi: 8    Wyświetleń: 3099
  • Amiga 600 - zastosowanie układu oscylator-kondensator

    X1 to nie oscylator tylko generator kwarcowy a kondensator odsprzega jego zasilanie.

    Komputery Hardware   03 Maj 2011 21:19 Odpowiedzi: 2    Wyświetleń: 1837
  • Czy układ LC można wymienić na rezonator kwarcowy?

    Pomiary które wykonałem na życzenie nemo07. T1 emiter - colektor 5,91V emiter - baza 5,o4V baza - colektor 0,52V T2 Baza - emiter 7,96V Emiter- colektor 0,89V baza- colektor 0,62V Nie wiem, jak zmajstrowales te "pomiary", ale powyzsze dane, w obydwu przypadkach sa logicznie nierealne. Poza tym, naucz sie podawac mierzone napiecia poprawnie i jednoznacznie...

    Początkujący Naprawy   26 Sie 2011 16:25 Odpowiedzi: 32    Wyświetleń: 10442
  • PIC 16F628A jaki rezonator kwarcowy?

    AVE... Pragnę dodać, iż według noty katalogowej maksymalna częstotliwość rezonatora kwarcowego może wynosić 20Mhz. 4Mhz dotyczy wewnętrznego oscylatora tego mikrokontrolera. Moja rada: albo spróbuj odczytać oznaczenie rezonatora, albo znajdź drugie takie urządzenie i tam zobacz... Swoją drogą ciekawe, co się stało, że oznaczenie z rezonatora zniknęło....

    Mikrokontrolery PIC   26 Paź 2011 21:14 Odpowiedzi: 4    Wyświetleń: 2421
  • [Kupię]Czym zastąpić ten oscylator (zdjęcie)

    Witam, mam uszkodzony taki oto oscylator na 16.384MHz Nie mogę nigdzie namierzyć sklepu w którym można by było go kupić. Czym można go zamienić? Czy tego typu by pasował? Chociaż problem był by tutaj rozmiar :)

    Elementy elektroniczne Bazar   22 Kwi 2012 09:06 Odpowiedzi: 3    Wyświetleń: 1608
  • [Atmega8] - Nie można ustawić zewnętrznego oscylatora 8 Mhz

    Dokładnie takie jak były w zestawie: 27 pF Mogę jeszcze wlutować inny oscylator, bo mam trochę takich: może będą lepsze niż ten CQ8.000 ? ... przelutowałem - efekt taki sam, udało mi się jednak uratować też jeden z porocków - zdjąłem zworki i programator go "znalazł" - udało mi się też go zaprogramować - jak wcześniej zgodnie z książką i w sumie proc...

    Mikrokontrolery Początkujący   25 Lip 2012 16:08 Odpowiedzi: 22    Wyświetleń: 2806
  • Atmega48 Pico Power SMCR oscylator wewnętrzny

    Witam. W mikrokontrolerze Atmega48 można ustawić następujące tryby redukcji poboru mocy: 000 Idle 001 ADC Noise Reduction 010 Power-down 011 Power-save 100 Reserved 101 Reserved 110 Standby(1) 111 Extended Standby(1) Nigdzie nie mogę znaleźć informacji, w których konkretnych przypadkach należy używać zewnętrznego rezonatora kwarcowego, a w których można...

    Mikrokontrolery AVR   10 Paź 2017 15:40 Odpowiedzi: 5    Wyświetleń: 708
  • Jak działa kwarcowy miernik częstotliwości i podłączenie do wentylatora 12V?

    (at)PawelPawel125 Jak widać na zdjęciu, ten miernik posiada podstawkę (na dole płytki), w którą należy włożyć badany element (oscylator kwarcowy). Pozostała część obwodu generatora znajduje się na płytce i raczej nie pozwoli na pomiar częstotliwości w zakresie pracy wentylatora. Słabe rozwiązanie. Ponieważ nie masz odpowiedniej wiedzy, to znacznie...

    Początkujący Elektronicy   30 Maj 2020 21:06 Odpowiedzi: 5    Wyświetleń: 387
  • generator kwarcowy do mikrokontrolera

    Widziałem schemat oscylatora do procków chyba w kwietniowym wydaniu EDW

    Mikrokontrolery   26 Maj 2005 19:29 Odpowiedzi: 18    Wyświetleń: 11014
  • Rezonatro kwarcowy - jak dobrać kondensatory

    Jeszcze się nie spotkałem żeby oscylator nie ruszył, bo kondensatory miały złą pojemność. Sam stosuję 27pF SMDalbo 33pF DIL , zależnie jakie mi pasują. Narobiłem troszkę układów i jeszcze mi się nie zdarzyło żeby rezonator źle robił to co powinien. Uwierz mi że jeśli przesiądziesz się na "hardware" to będziesz miał inne problemy niż pojemność kondensatorów...

    Mikrokontrolery   19 Maj 2005 19:57 Odpowiedzi: 7    Wyświetleń: 2290
  • generator kwarcowy-problem

    Oprócz generatorów w obudowie dip w tej chwili staram się jakoś "opanować" generatorek na zwykłym kwarcu. Tu jest już trochę lepiej, przy kwarcu 7 mhz i bramkach serii AS udało się uzyskać przebieg prostokątny ale z dużymi oscylacjami po narastającym lub opadającym zboczu. Teraz zastanawiam się jak je usunąć Witam. Nie dyskutuj z Jerzym Węglorzem,...

    Projektowanie Układów   04 Maj 2006 12:01 Odpowiedzi: 17    Wyświetleń: 3551
  • problem z rezonatorem kwarcowym

    Diabas, procesory nowsze jak AVR mają przełączalny fusebitami obwód oscylatora do współpracy z szeregowym kwarcem zegarkowym. W twoim nie wiem dokładnie ale chyba układ oscylatora jest stały i odwraca faze o 180 st. Spróbuj dodać jeden inwertor w szereg, bramkę lub tranzystor który dodatkowo obróci fazę. Wtedy na pewno układ sie wzbudzi, kondensatory...

    Mikrokontrolery   23 Sie 2007 12:39 Odpowiedzi: 18    Wyświetleń: 4394
  • Jak podłączyć generatore kwarcowy do 89C2051?

    Wiesz, jedyna różnica jest taka,że przy wykorzystaniu samego kwarcu używasz wbudowanego w procek oscylatora. Ale stabilizacja częstotliwości jest podobna, więc nie ma sensu używania zewnętrznego generatora. Chyba, że chcesz przetaktować procek :]

    Mikrokontrolery   24 Lis 2006 18:17 Odpowiedzi: 4    Wyświetleń: 1019
  • ATMEGA8 - Problem z rezonatorem kwarcowym...

    AtmegaA po wyjści z fabryki jest ustawiony na Wewnętrzny oscylator 1Mhz. poczytaj na forum o fusebitach i przejżyj FAQ tam wsztystko opisane. nieexperymentuj z fusebitami bo zablokujesz proca

    Mikrokontrolery   04 Gru 2006 13:20 Odpowiedzi: 3    Wyświetleń: 911
  • potrzebny pewny sch generatora kwarcowego 32MHz

    Jak wyzej, moze byc na CMOSie - wazne aby mial 32MHz oraz aby rezonator byl jedna "nogą" polaczony do masy - tam bedzie dolozony element do przestrajania w formie warikapu. Budowalem juz kilka gen ale one nie nadaja sie do współpracy z overtonowymi oscylatorami .....

    Początkujący Elektronicy   04 Lip 2007 16:15 Odpowiedzi: 17    Wyświetleń: 1760
  • Rezonator kwarcowy + bateria + led

    Witam Rezonator to nie to samo co oscylator. Rezonator potrzebuje zewnętrznych elementów, aby móc oscylować. Dioda LED pewnie nie mogłaby pracować przy 12MHz, bo dużą rolę zaczęłaby odgrywać pojemność złącza. W Twoim obwodzie nie popłynie praktycznie żaden prąd (tylko na początku przez krótki ułamek sekundy-przeładowanie pojemności kwarcu). Pzdr.

    Początkujący Elektronicy   26 Lut 2008 00:03 Odpowiedzi: 5    Wyświetleń: 1134
  • Rezonator kwarcowy - jak podłączyć do mikrokontrolera?

    To nie rezonator tylko oscylator. W datasheet od mikrokontrolera powinno być napisane jak to podłączyć. Wymagane jest zasilanie na oscylatorze...

    Początkujący Elektronicy   07 Sty 2009 20:13 Odpowiedzi: 4    Wyświetleń: 1346
  • [BascomAVR] Getrc5 zwraca 127, 255 - wpływ wartości rezonatora kwarcowego ?

    No ale z tym Wait 1 w przerwaniu to kolega zaszalał tochę ;) .... ok jednak wracając do pytania - kwarc nie ma żadnego wpływu - równie dobrze dekodowanie RC5 będzie działać na wewnętrznym oscylatorze i nie trzeba żadnego kwarca. Zatem odpowiedź jest prosta - jeśli nie działa RC5 w Bascomie to masz jedno - zły pilot - tzn nie nadający w RC5 ;) Ale tak...

    Mikrokontrolery AVR   28 Maj 2012 20:33 Odpowiedzi: 3    Wyświetleń: 1675
  • [AVR] Rezonator kwarcowy 16MHz

    Kwarc 16MHz to oczywiście nie dokładnie 16000000 Hz, z racji tego, że standardowo dokładność kwarców jest na poziomie 50ppm będzie to 16000000 +/- 50Hz Chyba raczej 16MHZ+/- 800Hz. Kolega chyba nie zrozumiał co oznacza skrót ppm. W przypadku oscylatora 16MHz 50ppm to właśnie +/- 800Hz. Poza tym zwykłe kwarce mają błąd na poziomie zazwyczaj 10ppm czyli...

    Mikrokontrolery AVR   21 Sie 2012 07:03 Odpowiedzi: 7    Wyświetleń: 2309
  • Generator kwarcowy 48MHz dobór elementów.

    Może to być cewka powietrzna? (internet pełen wzorów na jej obliczanie) ale chyba ciężko będzie to dobrze dostroić jeśli w ogóle się uda. Wlasnie powietrzna mialem na mysli powyzej. "Dostroic" ja znaczy tu uformowac, kiedy jest to cewka bez karkasu, przez rozciaganie/sciskanie tak, aby uzyskala zalozona wartosc indukcyjnosci (w przyblizeniu, bo do...

    Początkujący Naprawy   18 Paź 2012 12:40 Odpowiedzi: 33    Wyświetleń: 11949
  • rezonator kwarcowy - nadanik

    Jak juz wspominałem ;) atmega jest w układzie nadajnika użyteczna jak dziura w moście, nie podłączysz sie pod kwarc bo oscylator nie "uciągnie" takiego obciążenia poza tym było by to nie modulowane CW, zmieniać stan na porcie możesz ale do nadajnika to temu wiele brakuje. Nadajnik może być tak prosty jak to: [url=]Link Jak widzisz nie ma tu miejsca...

    Początkujący Naprawy   04 Sie 2014 18:29 Odpowiedzi: 4    Wyświetleń: 1164
  • Rezonator kwarcowy nie daje napięcia na wyjście.

    Rezonatora kwarcowego nie sprawdza się w opisywany przez Kolegę sposób. Można to zrobić za pomocą oscyloskopu z sondą o dużej oporności i małej pojemności wejściowej. Przyłączanie do niego innych "wynalazków" powoduje wytłumienie jego oscylacji. Należy też upewnić się kiedy oscylacje są generowane. Samo podpięcie zasilania o niczym nie świadczy. Można...

    Początkujący Naprawy   23 Lip 2016 14:12 Odpowiedzi: 7    Wyświetleń: 2526
  • Nadajnik AM na generatorze kwarcowym.

    Odświeżę nieco kotleta, gdyż moje pytanie również dotyczy radia Admirał a ściślej mikro nadajnika AM do tego radia. Znalazłem taki schemat: Na Allegro już znalazłem taki oscylator 1MHz, Link usunięto - Regulamin, pkt 3.1.18. Nie wysyłaj linków, które po pewnym czasie przestaną być aktywne. To spowoduje, że dyskusja straci jakikolwiek sens/trymer01 więc...

    Początkujący Elektronicy   28 Paź 2016 23:05 Odpowiedzi: 9    Wyświetleń: 1371
  • Generator kwarcowy z termostabilizacją

    Nie kojarzę konstrukcji. Coś na wzór generatorów OMIG ? Ogólnie generator to układ oscylatora i termostat. To jedno mam tylko nie wiem co wsadzić w termos. Mam w planie kupić 10kwarców, ale obawiam się że może nie zmieszczę się w tolerancji i po projekcie. Zaś nie wiem jak się by spisał generator ( taki za 5zł ) w termosie. Pomijam fakt sezonowania...

    Warsztat elektronika   08 Wrz 2017 11:54 Odpowiedzi: 32    Wyświetleń: 4341
  • Podłączenie rezonatora kwarcowego do mikrokontrolera.

    Witam, Ostatnio projektując płytkę do mikroprocesora dsPIC33 natknąłem się na zalecenie w dokumentacji, żeby wokół rezonatora kwarcowego stosować "guard ring" (nie wiem, jakie jest na to poprawne określenie w języku polskim). Jest to opisane w [url=]tym pliku na stronie 17. Problem jest taki, że wymaga to przeprowadzenia ścieżek pomiędzy pinami układu...

    Projektowanie PCB   01 Sty 2018 16:32 Odpowiedzi: 14    Wyświetleń: 1560
  • licznik motogodzin - generator kwarcowy

    Witam.na zdjeciu to właśnie licznik motogodzin bije czas po podaniu napięcia. Zrobiłem układ na cd4046 i wpiołem go w oscylator pol lewą nóżke unosząc jednoczesnie odlutowujac od płytki noge do góry. Układ nabija mw.1:4 motogodzin

    Projektowanie Układów   27 Kwi 2020 16:45 Odpowiedzi: 15    Wyświetleń: 2838
  • Jakie kondensatory do rezonatora kwarcowego wg karty katalogowej?

    Przy połączeniu równoległym kondensatorów ich pojemności się sumują. To oczywiste. Błąd popełniłem w obliczeniu CL=0,5*C, czyli dla połączenia jakie jest w tym oscylatorze, pojemność kondensatorów powinna być dwukrotnie większa, a nie dwukrotnie mniejsza niż wyliczona różnica pomiędzy pojemnością obciążenia kwarcu, a pojemnością ścieżek i wejścia MCU....

    Mikrokontrolery AVR   24 Maj 2020 09:00 Odpowiedzi: 20    Wyświetleń: 2025
  • CB Ultra III CE0678 - częstotliwość rezonatora kwarcowego

    Napięcie robocze: fSYS = 4 MHz: 2,2 V ~ 5,5 V. fSYS = 8 MHz: 3,3 V ~ 5,5 V. I co to ma niby być. Nic z tego nie wynika dla mnie. Przestań wierzyć przeczuciom Marcina i zacznij myśleć. Dlaczego nie zaufasz intuicji i doświadczeniu innych? Jeszcze raz Ci napiszę, bo widocznie nie czytasz rad. to jest radio w którym centralną jego częścią jest procesor...

    CB Radio   01 Lip 2020 22:23 Odpowiedzi: 32    Wyświetleń: 1884
  • Unitra Warel - nie działa po wymianie rezonatora kwarcowego, gdzie szukać usterki?

    Schemat nie będzie zapewne wiele odbiegał od tego, jaki był przewidziany dla układu MCX1201/MC1201. Do tych zegarków szły "łyse" struktury, właśnie MCX1201. Jeśli zegarek "dostał wody", mogły (nawet długo po tym) skorodować doprowadzenia i to może być przyczyną awarii. Ja bym próbował oscyloskopem podglądać, czy w ogóle są drgania oscylatora.

    Inne Serwis   03 Sie 2020 06:31 Odpowiedzi: 2    Wyświetleń: 1377
  • [Kupię] Gdzie kupić nietypowy rezonator kwarcowy (na zamowienie) ?

    Hej, poszukam tych 75. Nie mogę zamontować oscylatora bo jest tam mega mało miejsca. Nie chcę też modyfikować urządzenia.

    Elementy elektroniczne Bazar   13 Lut 2021 19:10 Odpowiedzi: 9    Wyświetleń: 579
  • Czas rozgrzewania generatora kwarcowego - wpływ wieku urządzenia i temperatury otoczenia

    Ok, mały update. Dziś odpaliłem go na zimno - nie działał. Doregulowałem dławik układy oscylatora i zaskoczył odrazu. Sprawdzę jutro na zimno ale chyba to był problem... I tak zamówiłem od niego komplet kwarców z omig'a bo jeden ma nieco niższą częstotliwość niż powinien, reszta też stara..

    Projektowanie Układów   06 Lut 2021 09:46 Odpowiedzi: 11    Wyświetleń: 471
  • Sprawdzenie mikrokontrolera - napięcia na rezonatorze kwarcowym

    Z rezonatorem współpracuje analogowy wzmacniacz i ten wzmacniacz potrzebuje napięcia polaryzacji stąd V/2 Prawdopodobny schemat oscylatora Nie znamy rezystancji w środku, nie wiemy czy producent nie wymyślił czegoś innego, miernik połączony na zewnątrz może zaburzać pracę układu. Czy to wskazuje na uszkodzony mikrokontroler? Wiem, że jest to metoda...

    Początkujący Elektronicy   16 Mar 2023 18:11 Odpowiedzi: 3    Wyświetleń: 177
  • PIC16F84. Start oscylatora. Zaprogramowany układ nie działa.

    :arrow: Troll_u Układ RC to znaczy R esistor i C apacitor czyli oporniczek i kondensatorek. Taki układ wyznacza częstotliwość drgań wbudowanego układu generatora. Zamiast układu RC można podpiąć rezonator kwarcowy. Bez jednego lub drugiego generator nie będzie oscylował więc mikrokontroler nie będzie bez nich pracował. PIC16F84 jest przestarzałym układem...

    Mikrokontrolery   30 Gru 2005 18:54 Odpowiedzi: 12    Wyświetleń: 2951
  • Atmega8 i oscylator 11,0592MHZ

    Czy Atmega8 może pracowac z takim zegarem? Rezonator kwarcowy miałbybyć tutaj generatorem.

    Mikrokontrolery   20 Mar 2006 09:30 Odpowiedzi: 4    Wyświetleń: 1134
  • problem z zewnętrznym oscylatorem

    Te ustawienie jest prawidłowe dla rezonatorów kwarcowych od 3MHz do 8MHz

    Mikrokontrolery   27 Lip 2006 03:54 Odpowiedzi: 2    Wyświetleń: 864
  • Rezonator a oscylator? Jaka jest między nimi różnica?

    Zakładając że chcodzi ci o wew oscylator i rezonator kwarcowy do taktowania procka, to przy oscylatorze masz małą dokładność oraz małą swobode w ustawieniu częstotliwości. Za to rezonator wymaga dodatkowgo miejsca na płytce, i generuje dodatkowe koszty.

    Mikrokontrolery   06 Paź 2006 08:53 Odpowiedzi: 3    Wyświetleń: 4646
  • [16f883][asm]Wojna z oscylatorem.

    Umieszczenie przykładowej lini: __config _CONFIG1, _INTOSC & _LVP_ON & _DEBUG_OFF & _WDT_OFF powinno dodawac do hexa bity konfiguracyjne. Zewnetrzny programator np winpic odczytuje bity z hexa i z nich korzysta. Programując w mplabie z tego co pamiętam wyższy priorytet mają ustawienia bitów konfiguracyjnych umieszczone w kodzie niż te wpisane w menu....

    Mikrokontrolery PIC   16 Maj 2009 20:23 Odpowiedzi: 10    Wyświetleń: 2847
  • Atmega8535 - przestawienie na zewn. oscylator - nie działa

    Witam ! Cały czas jest podpięty... i dalej nic... Masz podpięty rezonator kwarcowy (kwarc), czy generator ? Pozdrawiam. Stefan

    Mikrokontrolery AVR   05 Cze 2009 21:27 Odpowiedzi: 5    Wyświetleń: 1008
  • [atmega8][avr-gcc] RTC na wbudowanym oscylatorze w uC

    Sorry, troszkę źle nazwałem temat ;). Postaram się poprawić. Wracając co tematu ;) to wyszukałem gdzieś na internecie, że tą metodą, którą chce odmierzać czas osiągnięto dość dobre rezultaty, ale gubiono 1-2 sekundy na 15h. Z tą tylko różnicą, że podłączony został zewnętrzny oscylator kwarcowy 8MHz. No zaraz zaraz. Czyli to dla Ciebie taka mała różnica,...

    Mikrokontrolery AVR   27 Gru 2009 20:52 Odpowiedzi: 23    Wyświetleń: 4352
  • Problem z szybkością działania AT2313 po zmianie oscylatora

    Witam W programie AVR studio dokonałem zmiany Fuses aby uzyskać możliwość pracy procesora z zewnętrzym rezonatorem kwarcowym 4Mhz wybrałem opcję : Ext. Crystal Osc. 3.0-8.0 MHz; Start-up time: 14 CK + 4.1 ms Po tej zmianie procesor pracuje ale strasznie wolno nawet zwykłe polecenie w bascom wait 1 trwa ok 5 sekund zamiast jednej oczywiście dokonałem...

    Mikrokontrolery AVR   26 Sie 2009 20:59 Odpowiedzi: 3    Wyświetleń: 869
  • Czym jest podyktowana częstotliwość oscylatora 11.0592MHz

    Witam, Nurtuje mnie takie pytanie: dlaczego dla mikrokontrolerów głównie 8051 stosuje się rezonator kwarcowy o częstotliwości 11.0592MHz a nie równo 12MHz? Czy to wynika z jakichś ograniczeń technicznych czy z czegoś innego? Pozdrawiam.

    Mikrokontrolery   11 Cze 2010 07:30 Odpowiedzi: 4    Wyświetleń: 2233
  • Ile układów scalonych można podłączyć pod jeden oscylator?

    Tylko dodaj, że w powyższym schemacie należy podpiąć pod XTAL kwarc, a nie wyjścia procesora o tej nazwie :) To tak dla jasności. No i przy takim układzie naprawdę wygodniej wsadzić gotowy generator kwarcowy.

    Mikrokontrolery   16 Sty 2011 12:38 Odpowiedzi: 13    Wyświetleń: 2464
  • [Atmega16] - - Zablokowany - Zewnętrzny oscylator RC

    Próbowałeś podłączyć mu rezonator kwarcowy o różnych częstotliwościach ?

    Mikrokontrolery AVR   20 Maj 2013 17:23 Odpowiedzi: 5    Wyświetleń: 1692
  • SDR wg. YU1LM - Oscylator lokalny

    Witam Chciałbym poruszyć temat zewnętrznego oscylatora dla odbiornika : . Chodzi mi o dobór rezonatora kwarcowego jakiego należy użyć w tym układzie. Pasmem, które chcę odbierać to 2-15 MHz. Tutaj na stronie drugiej jest schemat oraz kondensatorami w tabelce jakie trzeba umieścić w tym radiu.

    Radiotechnika Początkujący   03 Lut 2016 22:32 Odpowiedzi: 14    Wyświetleń: 1512
  • ATXmega128A1U - oscylator wewnętrzny

    Dziękuje za wszystkie odpowiedzi. Polecam kurs opisujący ten problem i nie tylko.

    Mikrokontrolery AVR   22 Maj 2016 13:33 Odpowiedzi: 20    Wyświetleń: 1689
  • Oscylator smd 3225 + atmega 328 smd - jak to podłączyć?

    Moim zdaniem ,,kwarc'' na zdjęciu to jest scalony generator (oscylator) bazujący na rezonatorze kwarcowym i zdecydowanie należy go podłączyć do GND, trzeba podłączyć go do zasilania, a do mikrokontrolera trzeba podać sygnał wyjściowy na wejście XTAL1 - czyli z perspektywy mikrokontrolera będzie to External Clock. Mniej wiecej tak, lecz nie zupełnie.......

    Mikrokontrolery Początkujący   16 Gru 2016 02:01 Odpowiedzi: 29    Wyświetleń: 2700
  • Oscylator na bramkach NAND (oraz Theremin)

    Z kwarcem martwi mnie jedynie to, że trudno będzie znaleźć taki o niskiej częstotliwości rezonansowej rzędu setek kHz a jeśli dam za duży to może być z kolei problem z tym A co z rezonatorami 385kHz,429kHz, 440kHz, 422kHz, 455kHz, 500kHz i innymi podobnymi ogólnie [url=]dostępnymi?

    Początkujący Elektronicy   11 Sie 2017 08:24 Odpowiedzi: 25    Wyświetleń: 2673
  • Jak działa rezonator oscylator w procesorze?

    Witam Konkretnie chodzi o pracę całego generatora zegara w mikroprocesorze. Poszczeperałem troche w sieci i udało mi się ustalić, że najczęsciej taki oscylator złożony jest z rezonatora kwarcowego pracującego w układzie Pierce'a. Kondesatory dołączone do nóżek rezonatora pełnią rolę filtru PI, czyli przenoszą tylko konkretne pasmo częstotliwości, a...

    Mikrokontrolery Początkujący   15 Wrz 2017 08:44 Odpowiedzi: 7    Wyświetleń: 2589
  • Jak ustawić fusebity dla ATmega168P/328P z zewn. oscylatorem 16MHz?

    o znalezieniu informacji (tylko anglojęzycznych, niestety), że "Full-swing" stosuje się przy układzie rezonator kwarcowy pomiędzy XTAL1 a XTAL2 plus ew. kondensatory do masy a Ext. Crystal dla generatora kwarcowego do XTAL1 - zgłupiałem jeszcze mocniej. Full swing powoduje zwiększenie amplitudy oscylacji - poprawia to stabilność i pewność startu, szczególnie...

    Mikrokontrolery Początkujący   04 Cze 2020 16:03 Odpowiedzi: 15    Wyświetleń: 1296
  • Eksperymenty z układem SI4743: Podłączenie kwarcu 32.768 kHz, wbudowany oscylator?

    Nie używałem tego układu ale dokumentacja opisuje że wejście RCLK podaje się przebieg o poziomach logicznych jak napięcie Vio o częstotliwości 32768Hz lub wyższej która po podzieleniu da zbliżoną wartość, więc raczej nie podłączysz tu kwarcu tylko oscylator kwarcowy

    Początkujący Elektronicy   15 Maj 2022 19:44 Odpowiedzi: 6    Wyświetleń: 186
  • Oscylator kwarcowy a Rezonator kwarcowy

    witam !! oscylator kwarcowy jest to generator kwarcowy , zaś rezonator kwarcowy jest elementem określacym częstotliwość pracy oscylatora . w skład każdego oscylatora kwarcowego wchodzi rezonator kwarcowy . rezonator jest to pojedynczy element a oscylator jest to zbiór elementów pozdrawiam !!

    Projektowanie Układów   05 Paź 2005 18:16 Odpowiedzi: 2    Wyświetleń: 6482
  • Oscylator kwarcowy. Rozkład wyprowadzeń oscylatorów.

    Witam, Czy ktoś jest w stanie podać mi rozkład wyprowadzeń oscylatorów w takich: obudowach? Są 4 wyprowadzenia.

    Początkujący Elektronicy   24 Mar 2006 21:55 Odpowiedzi: 2    Wyświetleń: 1086
  • Różnica między rezonatorem kwarcowym, a oscylatorem kwarcowym.

    Czesc!! Moze to banalne pytanie ale czy moglby mi ktos wytlumaczyc roznice miedzy rezonatorem kwarcowym a oscylatorem kwarcowym?? mile widziane jakies linki Pozdrawiam Maciek

    Początkujący Elektronicy   03 Maj 2006 14:37 Odpowiedzi: 1    Wyświetleń: 810
  • Oscylator kwarcowy dla ATTiny13 - jaki układ?

    Dziękuję, link ciekawy ale nie o to mi chodzi. Problem polega na tym ze potrzebuję podmienić na płytce ATTiny12 (ten może mieć kwarc a tiny13 nie?) i nie mam miejsca na płytce bez duzej zmiany rozmieszczenia elementów. Dodatkowe bramki ani gotowy oscylator nie wejdą. Pozdr. N.

    Mikrokontrolery   27 Maj 2005 22:24 Odpowiedzi: 6    Wyświetleń: 3103
  • Oscylator kwarcowy

    Jeżeli zamiast NOT'a dam NANDa "przerobionego" na NOTa to generator też będzie działał? Acha i jeżeli zamiast 2 MHz kwarc bedzie 4,433 MHz to kondensatory też musze zmienić?

    Początkujący Elektronicy   12 Lip 2005 15:28 Odpowiedzi: 1    Wyświetleń: 3178
  • pytanie o oznaczenie oscylatora kwarcowego S721 lub S72I

    Mam mały oscylator kwarcowy (w kształcie cylindra ok. 6mm długości, 2mm średnicy) i posiada on oznaczenie S721 lub S72I. Czy z tych oznaczeń da się wywnioskować częstotliwość oscylatora? Bardzo dziękuje za wszelką pomoc

    Schematu/instrukcji/artykułu   14 Wrz 2005 12:20 Odpowiedzi: 0    Wyświetleń: 702
  • Jaki transformatorek na oscylatorze kwarcowym 80 MHz

    Witaj, Teraz bez problemu słyszę morsa na radyjku... 0 problemów. Sugerujesz że WW transformatorek by się nadał ? Jak ocenić gdzie podłączyć baterie i sygnał a gdzie do oscylatora, dla mnie tu i tu jest identyczny....

    Początkujący Elektronicy   26 Lut 2006 16:11 Odpowiedzi: 15    Wyświetleń: 1346
  • Przetwornica impulsowa + oscylator kwarcowy

    Witam, projektuje płytkę na której dosyć blisko siebie znajdują się stabilizator impulsowy (MC32064A), kwarc od procka ATMEGA32 oraz zegar RTC (PCF8583) wraz z kwarcem. Moje pytanie brzmi: czy ów stabilizator nie będzie zakłócał oscylatorów? Płytka jest 2 warstwowa, przetwornica jest na górze a ścieżki od kwacrów na dole (otoczone ścieżkami masy).

    Projektowanie Układów   01 Mar 2006 09:55 Odpowiedzi: 5    Wyświetleń: 1538
  • Oscylator kwarcowy 30MHz,160 MHz

    mam pytanie do kolegów gdzie można kupić oscylatory kwarcowe 30MHz i160MHz nie chcę informacji gdzie są w ofercie a niema i nie będzie na stanie takich firm jest cala masa

    Inne Co kupić?   12 Kwi 2007 09:57 Odpowiedzi: 0    Wyświetleń: 817
  • Oscylator kwarcowy z kompensacją temperatury

    Czy ktoś wie gdzie w Polsce zakupie Oscylator kwarcowy 8MHz z kompensacją temperatury?Jak narazie znalazłem tylko w firmie Gamma ale tam trzeba kupić hurtem:/

    Projektowanie Układów   12 Lip 2007 20:59 Odpowiedzi: 1    Wyświetleń: 1127
  • ARM LPC oscylator kwarcowy

    Witam Programowalem troche w avr. Obecnie bawie sie lpc. Pracuje na keil. Mam pytanie odnosnie oscylatora kwarcowego. W AVR po zamontowaniu kwarcu nalezalo skonfigurowac odpowiednie fusebity m.in. wartosc czestotliwosci oscylatora. Pytanie tyczy sie mikrokontrolerow lpc z rdzeniem arm7 czy arm9. Czy istnieja fusebity dla tych mikrokontrolerow ktore...

    Mikrokontrolery ARM   05 Kwi 2011 16:06 Odpowiedzi: 6    Wyświetleń: 2189