REKLAMA

oscyloskop czestotliwosc

Znaleziono około 433 wyników dla: oscyloskop czestotliwosc
  • oscyloskop z PC do 1,2KV ?

    marjan1: Jaki jest sposob konfiguracji to akurat wiedzialem, natomiast nie wiedzialem dokladnie jakie wartosci poko faradow dobrac. Trymer 30pF nie bedzie za duzy ? lechoo: To ze przebiegi beda znieksztalcone i malo dokladne jest oczywiste. Jest to projekt niskobudzetowy wiec nie mozna sie spodziewac przebiegow z dokladnoscia jak na normalnym oscyloskopie....

    Projektowanie Układów   03 Maj 2006 15:01 Odpowiedzi: 4    Wyświetleń: 1425
  • REKLAMA
  • projekt oscyloskopu-regulacja czestotliwosci??

    witam. wykonuje w bascomie i proteusie projekt oscyloskopu i mam problem ze zrobieniem pokretla do regulacji czestotliwosci/okresu. czy jest ktos kto mogly mi pomoc i cos zaproponowac? bo nie mam pojecia jak to zrobic. pozdrawiam.

    Mikrokontrolery   18 Paź 2007 21:28 Odpowiedzi: 1    Wyświetleń: 848
  • Oscyloskop a karta graficzna i wykrycie przetaktowania

    A niby jak mieli by zobaczyc na oscyloskopie czestotliwosc ktora w danym momencie nie jest ustawiona ?? Moim zdaniem to bzdura.

    Komputery Hardware   15 Sty 2008 14:11 Odpowiedzi: 3    Wyświetleń: 917
  • Sonda oscyloskopowa PB39 jakie pasmo?

    To jest sonda firmy Gould. Dodawana byla do oscyloskopow DSO 1624 z 20Ms/s. Pasmo tych oscyloskopow to 100MHz. Niestety nie jestem pewien czy poprawnie przeniesie ta czestotliwosc. Sonda jest x10. Wyslij e-mail do firmy: chociaz nie sadze aby cos jeszcze mieli na temat tego antyka. Na pewno bedzie lepsza od nowych chinskich.

    Warsztat elektronika   09 Maj 2015 13:37 Odpowiedzi: 2    Wyświetleń: 732
  • REKLAMA
  • ATmega8A - PWM o zmiennej czestotliwosci i wypelnieniu do 150kHz

    Witam, potrzebny jest mi generator fali PWM o zmiennej częstotliwości i wypełnieniu. Górna częstotliwość wynosi 150kHz, dolna 10kHz. W programie wykorzystuję dwa kanały adc (tj. adc1, adc2) oraz jedno wyjście dla wspomnianej fali (tj. PC5). Atmega8 pracuje z zewnętrznym kwarcem 16MHz. Górna częstotliwość wymaga 106 cykli zegarowych, dolna natomiast...

    Mikrokontrolery AVR   18 Sie 2016 22:42 Odpowiedzi: 5    Wyświetleń: 1188
  • Toyota Celica/3sge rev.3 - Spadek mocy, ciemne spaliny, oscyloskop, analizator sondy

    Tak cisnienie przy pelnej pizdzie do odcinki minimalnei spada przy samej gorze (zapewne wtedy jak juz leje calkiem) prawie 3 bary stoi. Wydech nowy drozny tutaj jest ewidentny problem z zalewaniem (pisałęm że czarny dym) Rozrzad dziesiec razy sprawdzany bo byl z nim problem (zjezdzajcy pasek) i jest ok. Silnik pali na dyg obroty nie falują ale na bardzo...

    Samochody Elektryka i elektronika   23 Paź 2022 13:55 Odpowiedzi: 9    Wyświetleń: 513
  • użycie jitter komparatora w układzie wyzwalania oscyloskopu

    Witam. Co to jest "jitter"? Chciałbym użyć komparatora w układzie wyzwalania oscyloskopu. W danych katalogowych jest napisane, że czas propagacji wynosi średnio 6.5 ns max 9.8 ns, czas narastania odpowiedni 0.5 ns do 2 ns. Jak duże są wariancje tych wartości w przypadku wyzwalania sygnałem okresowym. Tzn. nie interesuje mnie krótki czas propagacji tylko...

    Projektowanie Układów   27 Paź 2008 21:49 Odpowiedzi: 5    Wyświetleń: 1611
  • Pomoc dla początkującego w/s oscyloskopu...

    Ad1. Transformator( uzwojenie wtorne(to o duzo mnijeszej opornosci)) podlacz tam gdzie napisane AC, B) potencjometr podlacz do trzech srodkowych otworow z prawej strony (skrajne to chyba wyjscie) c) nalezy pobcinac (zostawiajac 1-2mm), po to sa sciezki zeby nie laczyc drutami Ad2. Oscyloskop sluzy do ogladania przebiegow elektrycznych i jednoczsnie...

    Początkujący Elektronicy   16 Wrz 2004 19:54 Odpowiedzi: 4    Wyświetleń: 3125
  • Jak z TV zrobić prosty oscyloskop.

    Tomek3232 przeciez w opisie projektu jak wół widać podstawy czasu od 0.1ms/dz... nie jest to duzo ale dla amatora - do badan ukladow o czestotliwosciach akustycznych w sam raz . projekt prosty i TANI i to sa glowne zalety.

    DIY Konstrukcje   02 Paź 2008 07:55 Odpowiedzi: 116    Wyświetleń: 51230
  • REKLAMA
  • Prosty cyfrowy oscyloskop.

    kilka dni temu musialem oddac oscyloskop i stanalem przed wyborem: - kupic jakis na allegro(jakis starszy, uzywany); - kupic karte do kompa. bardziej bylbym sklonny kupic karte do kompa, bo wlasnie w oscyloskopie "analogowym" jaki mialem najbardziej braklo mi mozliwosci rejestracji i analizy podgladu. poza tym i tak mam komputer na stole, wiec by sie...

    Projektowanie Układów   18 Kwi 2005 18:18 Odpowiedzi: 32    Wyświetleń: 15773
  • Pomiary oscyloskopowe

    Mam nastepujacy problem: Do wejscia oscyloskopu doprowadzamy sygnal prostokatny z generatora niskiej czestotliwosci o czestosci np. 50Hz. Sygnal obserwujemy dla sprzezenia stałopradowego DC i zmiennopradowego AC. A pytanie jest takie: -wyjasnic roznice w ksztaltach obu sygnalow? (przy DC jest idealnie kwadratowy, przy AC poziome "kreski" sa wygiete)...

    Początkujący Elektronicy   08 Lis 2005 20:00 Odpowiedzi: 4    Wyświetleń: 1483
  • REKLAMA
  • Potrzeba pomoc - Pomiar oscyloskopem.

    W czym tu problem? W pierwszym przypadku masz na ekranie dwie sinusoidy, odczytujesz ich przesuniecie w dzialkach, znajac podstawe czasu obliczasz przesuniecie w czasie, znajac czestotliwosc wyznaczasz przesuniecie fazy. Co do drugiego przypadku, to poszukaj na sieci "krzywe Lissajous".

    Początkujący Elektronicy   11 Sty 2007 17:12 Odpowiedzi: 9    Wyświetleń: 2726
  • do czego służy sonda oscyloskopowa?

    Najczęściej taka sonda to równoległe połączenie rezystora i trymera włączone szeregowo w przewód sygnałowy. Rezystor R dobiera się tak, aby z rezystancją wejściową oscyloskopu R0 tworzył dzielnik o żądanym stopniu podziału k. k = R0 / (R + R0) (9 Mohm dla k = 1:10 i R0 = 1Mohm) Podobnie z kondensatorem, ale nie pojemności, a impedancja k = Xc0 / (Xc...

    Początkujący Elektronicy   24 Gru 2006 12:59 Odpowiedzi: 5    Wyświetleń: 7542
  • Amatorski oscyloskop cyfrowy

    Ciekawy projekt aczkolwiek nie bardzo przydatny ze wzgledu na max czestotliwosc.

    DIY Zagranica   29 Sty 2008 14:50 Odpowiedzi: 18    Wyświetleń: 19078
  • Oscyloskop cyfrowy

    Witajcie kochani, mam pare pytan na temat oscyloskopu. 1)jak zsynchronizowac wykres na oscyloskopie, zeby byl stabilny 2)jak zmierzyc amplitude sygnalu trojkatnego 3)jak zmierzyc czestotliwosc sygnalu sinusoidalnego. 4)jak narysowac sinusoide(jaki wzor czy co?) majac dane: Czestotliwosc 1kHz Amplituda 3V Czas 0,1ms/div Wzmocnienie sygnalu 1V/div Serdecznie...

    Warsztat elektronika   06 Cze 2008 13:56 Odpowiedzi: 0    Wyświetleń: 819
  • Oscyloskop - jakiej firmy i względnie jaki kupić oscyloskop cyfrowy?

    Proszę zauważyć jak funkcja BW Limit wpływa na zmianę kształtu. Nie mam pojęcia co to za opcja. Jak sama nazwa wskazuje jest to zalaczenie ograniczenia pasma oscyloskopu. Najczesciej do 20MHz - nawet analogowe oscyloskopy to mialy. Czasem robi sie pomiary na niskich czestotliwosciach a duze pasmo oscyloskopu zbiera duzo np zakłóceń. Dla niskich napiec...

    Warsztat co kupić?   25 Lis 2023 14:37 Odpowiedzi: 286    Wyświetleń: 36480
  • oscyloskop

    no ja bym powiedzial ze lepiej bawic sie jednak w to lpt bo jednak karta muzyczna to i znieksztalcenia i niedokladnosc,ma tez pewnie ograniczenie w mierzeniu czestotliwosci.

    Warsztat elektronika   13 Gru 2003 01:55 Odpowiedzi: 7    Wyświetleń: 1791
  • oscyloskop na 89C2051

    GameBoy DSO jest fajna zabawka, ale soft kosztuje ok. 25funtow, no chyba ze ktos zaprogramuje sam i podzieli sie zrodlami :D. Jak kogos interesuje programowanie GB to proponuje zaczac od strony www.devrs.com/gb/ lub www.ziegler.desaign.de/readplus.htm a z tamtad do innych. Co do prob z innymi ukladami by zrobic oscyloskop na GB, to trzeba zrobic rachunek...

    Mikrokontrolery   02 Lut 2005 11:41 Odpowiedzi: 46    Wyświetleń: 27129
  • Oscyloskop zdjęcia. Parametry. Ile jest warty?

    ale to wyglada jak ruska samoroba ,zadnych tabliczek ,tylko na przelaczniku skala od 1 do 9 chyba od czestotliwosci.

    RTV Co kupić?   09 Kwi 2005 18:10 Odpowiedzi: 6    Wyświetleń: 1220
  • Niemarkowy Oscyloskop Cyfrowy - czy taki projekt ma sens?

    Witam, Chcialbym sie dowiedziec jaka jest Wasza ocena pomyslu na projekt od strony ekonomicznej. Czy cos takiego ma szanse potencjalnie przyniesc jakis profit. Otoz pracuje nad oscyloskopem o nastepujacych parametrach: - 2 kanaly - 8-bitowe - 250 Ms/s na kanal (czestotliwosc probkowania 250 MHz) - po 256 kilobajtow pamieci probek na kanal - alternatywnie...

    Projektowanie Układów   27 Sty 2007 23:20 Odpowiedzi: 4    Wyświetleń: 2498
  • Amatorski oscyloskop Cyfrowy AVT 527

    Witam Chcialem sie zapytac o jakosc tego oscyloskopu oraz o to jakie max napiecie wejsciowe mozna do niego podac aby nic nie uszkodzic ? Ten oscyloskop kosztuje 195zl jako kit do zlozenia. A moze znacie jakies inne uklady przystawek oscyloskopowych przystosowanych do pracy z USB komputera o czest probkowania ok 100kHz i napieciu wejsciowym do 100V ?...

    Inne Co kupić?   02 Kwi 2007 09:33 Odpowiedzi: 1    Wyświetleń: 3071
  • Sonda różnicowa +/-450V 20MHz do oscyloskopu

    Wg mnie ta sonda jest najlepsza z tych ktore kolega pokazal i tak. Moze nie jest izolowana. Ale ze wzgledu ze jest roznicowa to nie powinno byc problemow z pomiarem nieizolowanych napiec sieciowych. Wiekszosc komercyjnych sond tyvh za 2-3tys zł to tez sondy bez izolacji galwanicznej. Maja tylko lepsza pasmo. Ale myslac o typowych ukladach sieciowych...

    DIY Konstrukcje   17 Lut 2019 15:20 Odpowiedzi: 11    Wyświetleń: 4617
  • Pomiar czestotliwosci

    Chcialem przestroic radmora 5412, ale na ten moment nie dysponuje ani oscyloskopem, ani czestosciomierzem, a przy przestrajaniu nalezy ustawic czestotliwosc heterodyny ja konkretna wartosc. Czy jest jakas mozliwosc, by przy uzyciu miernika ustawic jakos te czestotliwosc?

    Audio Serwis   21 Lis 2003 07:49 Odpowiedzi: 1    Wyświetleń: 1693
  • PWM - czestotliwosc, czasy

    Witam serdecznie! Uzywam atmegi32 z zewnetrznym kwarcem 8MHz do sterowania serwem. Zastanawia mnie jaka jest czestotliwosc dla ICR1 = 2250; OCR1A = 205; czy da sie cos takiego wyliczyc? Nie mam oscyloskopu zeby to empirycznie sprawdzic. Czy jest jakis sposob liczenia dokladnie czestotliwosci lub czasow dla odpowiedniego ustawienia ICR1? Chodzi mi np...

    Mikrokontrolery   16 Sie 2007 11:49 Odpowiedzi: 1    Wyświetleń: 807
  • AVT2269-Miernik czestotliwosci

    Witam. Ja także pokusiłem się o złożenie miernika. Jednak urządzenie nie działa. Nic nie pokazuje 2 segment wyświetlacza, pozostałem pokazują same zera. Posiadam miernik częstotliwości do 20k oraz oscyloskop. Będę wdzięczny za podpowiedź gdzie mam rozpocząć poszukiwanie usterek. Co do samej płytki to muszę przyznać jak kolega wyżej- niezgodność opisu...

    Projektowanie Układów   08 Lut 2009 17:49 Odpowiedzi: 5    Wyświetleń: 2483
  • Oscyloskop velleman pps10, czy warto kupić ?

    No ok, ale jezeli ja nie potrzebuje 25Mhz? U mnie w maszynach z czujnikow schodza impulsy max 10Hz, 24VDC, o dlugosci impulsu kolo 20ms. Czasem mniejsza czestotliwosc i dluzszy czas. To wtedy czy poza slaba rozdzielczosci wyswietlacza cos mnie ogranicza ?? Czy lepiej kupic przystawke oscyloskopowa do laptopa? Mam tez analogowy oscyloskop [url=]INSTEK...

    Warsztat elektronika   18 Lut 2009 20:26 Odpowiedzi: 5    Wyświetleń: 4739
  • pomiar czestotliwosci metodą detekcji przejscia przez zero

    witam. szukam kogoś kto ma materiały w jezyku polskim. konkretnie chodzi o napisanie programu w labwindows. program ten powinien pobierac zadana czestotliwosc z oscyloskopu i metoda detekcji przejścia przez zero wyswietlac ja w programie na kompie. szczatkowe materiały jesli chodzi o program mam ale sam sobie z tym nie poradze. szkam kogos kto ma wiedze...

    Początkujący Elektronicy   14 Mar 2007 15:46 Odpowiedzi: 1    Wyświetleń: 1032
  • [STM32] - Oscyloskop - ADC, DMA, USB/UART

    Witam ponownie, Wracam tutaj z potrzebą skonsultowania pewnego problemu. Mianowicie po uruchomieniu USB postanowiłem dokładać kolejne bloki urządzenia i tak też pojawiła się obsługa DMA, ADC wyzwalanego timerem 2. No niestety projekt po kompilacji i wgraniu w ogóle nie działa :/ - Na terminalu otrzymuje 20 bajtów o wartości zero. Po zakomentowaniu prawie,...

    Mikrokontrolery ARM   15 Maj 2014 23:36 Odpowiedzi: 15    Wyświetleń: 4536
  • Jak wykonać sondę oscyloskopową ??

    Nie, 50 ohm oznacza w tym wypadku opornosc falowa... Nie wiem, do czego chcesz wykorzystywac ta sonde - jesli do obserwacji przebiegow o niskich czestotliwosciach, to praktycznie mozesz wziasc dowolny przewod.

    Początkujący Elektronicy   17 Sty 2007 13:42 Odpowiedzi: 6    Wyświetleń: 1467
  • Jaka przystawka oscyloskopowa do PC??

    tak ≈200zł a jesłi chodzi o parametry ...hmm jedno musi byc pewne czestotliwosc od 0Hz... I spore napięcia wejsciowe (zeby lampy mozna było badac)

    RTV Co kupić?   30 Lis 2005 15:09 Odpowiedzi: 6    Wyświetleń: 4412
  • OSCYLOSKOP - co to jest wyzwalanie

    A jakie wyzwalanie powinnysmy wybrac gdy np chcemy otrzymac charakterystyke czestotliwosciowa jakiegos czwórnika czy tez zmierzyc np tetnienia napiecia ?

    Początkujący Elektronicy   27 Sie 2017 15:47 Odpowiedzi: 3    Wyświetleń: 7787
  • szyukam na temat ; pomiar czestotliwosci osc gdzie moge to..

    Temat troche skrotowo napisany ale rozumiem ze chodzi Ci o pomiary czestotliwosci za pomoca oscyloskopu wiec moze ten link Ci sie na cos przyda :) Jest tam troche o budowie oscyloskopu i metodach pomiaru czestotliwosci za jego pomoca :)

    Początkujący Elektronicy   21 Maj 2003 13:06 Odpowiedzi: 4    Wyświetleń: 1765
  • Oscyloskop CQ5010B - opinie

    Witam na stronie sklepu AVT jest taki oto oscyloskop analogowy jednokanalowy: Cena wydaje mi sie byc atrakcyjna bo jest to sprzet nowy i ksztuje nie cale 500zl. czy ktos moze napisac jaka obiektywna opinie o tym oscyloskopie ? Moje zastosowanie do jakich bym go uzywal to zasosowania domowe, amatorskie, technika impulsowa o czestotliwosciach sygnalow...

    Inne Co kupić?   04 Kwi 2007 12:15 Odpowiedzi: 0    Wyświetleń: 945
  • Oscyloskop HP 54600B, uwagi i spostrzeżenia

    Tzn gdzie i za ile ? Co wyczekiwać rok aż może sie trafi za 500 ? I to od jakiegoś "Jasia Grzebalca" i się okaże że stracimy więcej czasu zdrowia i pieniędzy .... Sorry to tylko 750 PLN i jak ktoś chce się bawić i ryzykować że kupi nie kupi albo kupi nie wiadomo co .... Sorry to sobie darujmy ... Nie przesadzałbym z tą ceną że to drogo powiedzmy normalnie....

    Warsztat co kupić?   23 Lis 2016 19:31 Odpowiedzi: 196    Wyświetleń: 19629
  • MOSFET problemy z czestotliwoscia PWM

    Zatrzymanie wystepuje zawsze na tej samej wartosci wypelnienia, ale z obserwacji wynika ze im nizsza czestotliwosc tym zakres zwiekszania wypelnienia wiekszy, moze w tym tygodniu bede mial mozliwosc przetestowac to na oscyloskopie to zobacze co sie dzieje w srodku

    Początkujący Elektronicy   19 Cze 2016 11:16 Odpowiedzi: 22    Wyświetleń: 1665
  • Lampa oscyloskopowa, krzywe Lissajous uzyskanie

    Witam, abys uzyskal kryzwe Lissajous podane napiecie musi byc sinusoidalne i podajesz 2 rozne przebiegi rozniace sie czestotliwosci na X i Y. Podanie napiecia niesinusoidalnego nie da tego efektu (555 generuje drgania prostokatne)- chociaz da podobne ciekawe. Pozdrawiam

    Elektronika Retro   28 Kwi 2017 00:18 Odpowiedzi: 11    Wyświetleń: 4224
  • Określenie częstotliwości na podstawie wskazań oscyloskopu

    Generalnie wyjasnie jak sie mierzy bez czestotliwosciomierza. Należy okreslic ile zajmuje jeden okres przebiegu. Wazne żeby to był cały okres. Potem patrzysz jaką masz podstawę czasu. Mnozysz to przez ilosc zajmowanych podziałek i masz czas okresu przebiegu. Postawiajac do wzoru f=1/T masz częstotliwosc przebiegu. Czyli dla przykładu co podałes bedzie...

    Początkujący Elektronicy   22 Gru 2005 15:33 Odpowiedzi: 8    Wyświetleń: 3574
  • Oscyloskop LPT - zaklocenia

    Witam, wykonalem ostatnio oscyloskop pod zlacze LPT. Niby dziala prawidlowo, jednak na wyjsci mam lekkie zaklicenia czestotliwoscia okolo 12 kHz. Czy moze byc to przyczyna zastosowania kondensatorow ceramicznych zamiast foliowych? Jaka jest roznica w dzialaniu toakowych kondensatorow? Prosze o pomoc.

    Projektowanie Układów   26 Lip 2006 20:43 Odpowiedzi: 1    Wyświetleń: 912
  • Jaki kupic oscyloskop generator?

    Witajcie Mam na imie Karol Ostatnio skonczylem technikum elektroniczne nawet zdałem mature ale narazie moja edukacja konczy sie na tym bo jestem zapracowany- pracuje w rodzinnej firmie. Przymierzam sie do kupna oscyloskopu analogowego i generatora funkcyjnego. Na oscyloskop mam zamiar wydac max 2000zl a na generator do 1000 zl, nie bede ukrywal ze chce...

    RTV Co kupić?   20 Lis 2006 15:02 Odpowiedzi: 6    Wyświetleń: 3434
  • czestotliwosc generatora w atmega8

    Witam. W programie "AVR delay loop generator" wygenerowalem funkcje opoznienia dla procka ATmega8 przy zalozeniach: kwarc 8MHz, opoznienie 0,002s = 2ms i program wygenerowal mi kod (podaje przeksztalcony juz do funkcji w AVR studio) ; ============================= ; delay loop generator ; 16000 cycles: ; ----------------------------- ; delaying...

    Mikrokontrolery   17 Mar 2008 09:50 Odpowiedzi: 2    Wyświetleń: 978
  • Atmega8 - BACSOM i MIDI, dobranie kwarca, jak sprawdzic czestotliwosc

    Ok, aktualnie stanalem na etapie sprawdzania przejsciowki MIDI->USB. Dorwe jakis keyboard i sprawdze czy na 100% dziala. Dodatkowo porownam wysylany sygnal srodkowego C z tym co wysyla Atmega8 na oscyloskopie. Troche to potrwa ale temat otwarty, takze jak ktos ma pomysl co jest zle to mile widziane sugestie.

    Mikrokontrolery AVR   26 Paź 2012 07:04 Odpowiedzi: 12    Wyświetleń: 2706
  • regulowanie oscyloskopu

    thx za odpowiedz ale jak to sie ma do w/w czestotliwosci 2,5 dzialki to ustawione 0,2v x 2,5 co daje 0,5v ale na ile dzialek skalibrowac time/div.

    Początkujący Elektronicy   13 Lis 2003 19:36 Odpowiedzi: 5    Wyświetleń: 1283
  • Karta dźwiękową jako oscyloskop

    Karta dźwiekowa to nie jest dobry pomysł, próbowałem no i.... Przecież karta dźwiekowa taka zwykła (nie żadna profesjonalna do studia nagrań ma częstotliwość próbkowania 44kHz. Bo wiadomo że żeby dobrze odwzorować przebieg (w tym przypadku czestotliwosci słyszalne 20 Hz do 20 kHz) trzeba mieś dwa razy wiekszą czestotliwość próbkowania niż sygnał badany...

    Warsztat elektronika   05 Wrz 2004 20:05 Odpowiedzi: 8    Wyświetleń: 5737
  • czestotliwosciomierz na 2313

    czesc mam taki problem: zarobilem uklad na uP 2313 mierzacy czestotliwosc, tj uklad mierzy czas okresu, przelicza na Hz i podaje na port w postaci binarnej problem mam z tym ze ostatnie 3 bity skacza, i wachania w odczycia sa spore, problem znika jak odpinam mase od generatora, wtedy wszystko ladnie sie stabilizuje i dokladnie pokazuje czestotliwosc...

    Mikrokontrolery   13 Maj 2004 22:31 Odpowiedzi: 4    Wyświetleń: 1292
  • jaki przetwornik do oscyloskopu?

    No spoko, ale to co napisałeś teraz znaczy coś zupełnie innego niż to: W EP 9/99 i 10/99 byl "Oscyloskopowy rejestrator do PC" wlasnie na ISA: A czestotliwosc probkowania (i pasmo zarazem) mozna zwiekszyc za pomoca pamieci buforujacej (najprosciej to chyba na FIFO, bo nie trzeba dodatkowych dekoderow adresu). Tak jak w artykule z powyzszych EP Przytaczam...

    Projektowanie Układów   26 Gru 2006 14:52 Odpowiedzi: 22    Wyświetleń: 4187
  • help, oscyloskop C1-81

    dzieki za odpowiedzi, ale zastanawia mie jeszcze co to sa za gniazda bnc z tylu oscyloskopu, po co one tam sa, sa opisane ale po rosyjsku, i na przednim panelu w lewym gornym rogu jest wyswietlacz lampowy 3 cyfrowy, jestem ciekawy co on pokazuje, bo raczej nie czestotliwosc, tak wogole uruchomilem go i jest sprawny, przynajmniej czesciowo

    Warsztat elektronika   17 Cze 2004 07:42 Odpowiedzi: 4    Wyświetleń: 1068
  • Oscyloskop na 90S8515 + TDA8703

    Potrzebny mi jest oscyloskop cyfrowy, planowalem zrobic wlasnie zrobic cos na tych dwoch elementach i wygladalo by to tak ze jeden z portów byl by osczytywał wartośc dyskretna z przetwornika a jakis jeden pin strowal by zegarem tego przetwornika. Przebieg wyswietlany byl by na wyswietlaczy graficznym. Czy ktos wie jaki maksymalna czestotliwosc mogł...

    Mikrokontrolery   22 Sie 2004 19:25 Odpowiedzi: 1    Wyświetleń: 1599
  • oscyloskop w komputerze

    Przerabialem temat, i nic ciekawego nie wychodzi z tego, dziala dobrze tylko z sygnalem sinusoidalnym, prostokat jest bardzo znieksztalcony, prawdopodobnie przez kondensatory na wejsciu ale moze gdzies dalej tez sa, Prad staly tak samo, impuls a potem zjazd do zera na ekranie. Nadaje sie to do tesotowania np wzmacniaczy mocy - ustawiasz sobie czestotliwosc...

    Warsztat elektronika   19 Paź 2004 23:40 Odpowiedzi: 21    Wyświetleń: 21068
  • Potrzebuje oscyloskop na komputer - zawody dBDrag

    hmm czyli w tamtym oprzypadku trzymanie nic nie pomagało a psuło... Jeżeli chcesz osiągnąć dobry (lepszy wynik) musisz grać jedną czestotliwością a wtedy każda jej zmiana lub zmiana warunków panujących w aucie będzie miała duży wpływ na końcowy wynik. Całym pasmem lub przemiataniem czestliwości graja w wiekszości amatorzy daje to niestety stratę nawet...

    Audio Estradowe, Sceniczne, Studyjne   22 Lut 2005 21:15 Odpowiedzi: 24    Wyświetleń: 3574
  • oscyloskop do warsztatu samochodowego

    witam jakimi parametrami powinien się wyróżniać oscyloskop uzywany w serwisie samochodowym [mam na mysli badanie ukladow zapłonowych , pradnic , altenatorow itp.] , czy wystarczy w pełni zakres pasma akustycznego [takie maja np. oscylokopy ,ktore przylacza sie do komp.PC] , czy wystarczy max. czestotliwosc 20kHZ ? pozdrawiam

    Warsztat elektronika   22 Maj 2005 22:33 Odpowiedzi: 5    Wyświetleń: 4070
  • Oscyloskop z PE - budowa w trakcie...

    pierwotny projekt zakłada pasmo 5 MHz aczkolwiek to pasmo dotyczy plaskiej czesci charakterystyki wzmacniacza - wiec w praktycepowinien pokazac wiecej - co najwyzej znieksztalci nieco przebieg. Lampa jest lepszej klasy niz w projekcie - z oscyloskopu 20MHz i ma mniejsza plamke wiec wydaje mi sie ze uda sie "wycisnac" z niego wiecej Ciekawi mnie czy...

    DIY Konstrukcje   08 Lis 2011 21:56 Odpowiedzi: 30    Wyświetleń: 17634
  • Uklad chopujacy do oscyloskopu

    To jest baaaardzo stary oscyloskop : )) o wysokich czestotliwoscich nawet nie mam co marzyc. Uzywac go bede jak narazie do sygnalow sporo ponizej 1MHz takze wzmacniacz sie wyrobi Masz moze w tej ksiazce opisane jak sa choppery robione w oscyloskopach oryginalnie?

    Projektowanie Układów   03 Gru 2005 22:27 Odpowiedzi: 9    Wyświetleń: 1943
  • Oscyloskop do PC`a na pasmo powyzej 20kHz ?

    Witam Czy istnieja jakies nie trudne układy, które mozna podłączyc do PC`a poprzez dowolny istniejacych port aby wykorzystac kompa jako oscyloskop na pasmo powyzej czestotliwosci akustycznych ? Z tego co wiem to istnieja proste uklady, ktore mozna podlaczyc pod wejscie karty dźwiękowej i które pełnia funkcję oscyloskopu tylko ze dzialaja na pasmo akustyczne....

    Projektowanie Układów   02 Maj 2006 22:59 Odpowiedzi: 4    Wyświetleń: 1251
  • Cwiczenie z pracowni: Czułosc oscyloskopu

    czułosc powinna byc stała ? czy moze sie zmieniac oczywiscie nie regulując zadnymi pokrętłami ,tylko zmieniając czestotliwosc generatora.? To cwiczenie jest bardzo proste ale ja nie brałem w nim udziału,ale sprawozdanie musze zrobic bo byłem na lekcjii.Troche tego nie szczaiłem a koledzu u mnie z grupy jakos mi tego nie moga wytłumaczyc bo oni prawdopodobnie...

    Początkujący Elektronicy   09 Maj 2006 20:40 Odpowiedzi: 4    Wyświetleń: 1483
  • pomiary oscyloskopem

    Hmmm... Nie slyszalem o tym aby kiedykolwiek trafo separujace bylo potrzebne do pomiarow oscyloskopem (a bawie sie nimi od 7 lat). Jedyny konkretny przyklad gdzie trzebaby stosowac takie trafo to przy 2 roznych potecjalach odniesienia dla obu kanalow... P.S.stosujac takie trafo automatycznie nie wiesz jak jest skladowa stala, dla roznycz czestotliwosci...

    Początkujący Serwisanci   02 Lip 2006 15:58 Odpowiedzi: 18    Wyświetleń: 5458
  • separacja od "DC" w oscyloskopach

    Witam! Zastanawiam sie, jak jest rozwiazana separacja od offsetu w oscloskopach cyfrowych. Prawdopodobnie bede w posiadaniu takiego urzadzenia, lecz problemem jest w nim brak separacji od skladowej stalej. Czy da sie taka separacje wykonac we wlasnym zakresie ? Jak jest to realizowane w fabrycznych urzadzeniach ? Omawiany przezemnie oscyloskop, posiada...

    Warsztat elektronika   16 Sie 2006 12:30 Odpowiedzi: 1    Wyświetleń: 752
  • Oscyloskop do komputera? Czy opłaca się to robić?

    nie nie zle zrozumiales... MP3 ma obciete pasmo powyzej 16Khz ale po co mi ponad 16Khz...? ja potrzebuje sinusoidy 50hz bo przeciez innej nie zmierzy mi miernik czestotliwosci, nie musze znać idealnego wiarygodnego przebiegu, ja musze tylko dzieki temu znaleść moment w ktorym wierzchołek tejże sinusoidy zostanie ścięty, do niczego innego raczej mi sie...

    Projektowanie Układów   06 Paź 2006 15:06 Odpowiedzi: 40    Wyświetleń: 9235
  • [ATMEGA168] oscyloskop cyfrowy

    Tez myslalem o ARMie jako o tanim oscyloskopie, wlasciwie wydaje sie to jedyne tanie i sensowne rozwiazanie oparte na pojedynczym procesorze, bez zadnego kombinowania z zewnetrznymi pamieciami i generatorami adresu. Ja nawet myslalem o zastosowaniu przetwornika wewnetrznego w AT91SAM7S bo on ma do kilku Mhz. Taki oscyloskopik bylby naprawde tani w stosunku...

    Mikrokontrolery   21 Mar 2008 16:19 Odpowiedzi: 26    Wyświetleń: 10234
  • Jaka czestotliwosc pracy zasilacza?

    Jaka jest czestotliwosc pracy zasilacza komputerowego? Musze to wiedziec przed zakupem oscyloskopu, ktorym chce go zbadac ;) chce kupic ten oscyloskop: i niewiem czy jego czestotliwosc zmiesci sie w zakresie od 20 Hz do 22 kHz Proszę nie podawać linków do Allegro tylko zamieszczać fotki! Akcja się z kończy czy coś w tym stylu i już nic nie zostaje dla...

    Komputery Hardware   12 Gru 2006 11:51 Odpowiedzi: 3    Wyświetleń: 1006
  • Oscyloskop komputerowy na PIC18F2550

    Dorzucajac szybszy przetwornik ADC powinienes bez problemu uzyskac pozadana czestotliwosc - tylko wsad bedzie trzeba napisac od nowa

    Artykuły   25 Wrz 2012 22:48 Odpowiedzi: 11    Wyświetleń: 13561
  • Sonda do oscyloskopu OS-351

    Niepowinien znieksztalcac . To polski solidny oscyloskop na plycie czolowej poszukaj takiego malego plaskownika jest na nim wyprowadzony sygnal generatora 1kHz kwadrat , jesli uzyskasz idealny kwadrat to kabel jest dobry .W scheacie sondy ktora tu ktos wrzucil powinien byc ( sorry ze tak pisze ale nie ogladalem jej) maly trymer 3-30 pF i nim ustawiasz...

    Warsztat elektronika   01 Sty 2007 13:35 Odpowiedzi: 16    Wyświetleń: 10320
  • Oscyloskop warsztatowy - jaki?

    ... a jesli chodzi o czestotliwosc probkowania ? Starczy tu 20MHz ??

    Inne Co kupić?   05 Lut 2007 10:23 Odpowiedzi: 3    Wyświetleń: 1602
  • Oscyloskop HPS 10

    Witam kolegów Jestem posiadaczem oscyloskopu recznego velleman hps10. Problem z nim polega na tym, ze po sprawdzeniu na generatorze przebieg 1khz jest widoczny i tez jest zniekształcony ponizej tej czestotliwosci, nie rysuje przebiegu. Oscyloskop stosuje do pomiarów w samochodówce: przebieg alternatora, czujników i raczej nie było mozliwosci aby dostał...

    Warsztat elektronika   12 Mar 2007 11:40 Odpowiedzi: 3    Wyświetleń: 2333
  • Oscyloskop Yizreel Electronics OSC-3C - kalibracja?

    To CAL które znalazłeś na potencjometrze płynnej regulacji podstawy czasu informuje cie, że jesli ustawisz to pokretło na CAL wtedy masz taka podstawe czasu jak wskazuje pokretło podstawy czasu, czyli to nie to o co ci chodzi. Nie ma z tyłu jakis dodatkowych gniazd? przełaczników? Skąd wiesz, że oscyloskop jest rozkalibrowany? Miernikiem cyfrowym zmierzysz...

    Warsztat elektronika   27 Sie 2007 09:43 Odpowiedzi: 24    Wyświetleń: 4857
  • Oscyloskop z TV. Jaki wzmacniacz należy zastować?

    Nie. R w szereg z cewkami :!: Na TDA dac maksymalne napiecie, jakie mozna. R musi miec odpowiednia moc - wartosc i moc dobierz doswiadczalnie. Taki uklad bedzie wykazywal spadek w zakresie gornych czestotliwosci. Mozesz to poprawic zapinajac rownolegle do R dodatkowo dwojnik szeregowo polaczonych Rk Ck, przy czym Rk powinno byc kilka razy mniejsze niz...

    Projektowanie Układów   21 Cze 2007 09:49 Odpowiedzi: 6    Wyświetleń: 1202
  • Pomiar mocy wzmacniaczy. Pomiar bez oscyloskopu?

    Tak moze. A co do metody kol. Malasa, multimetry jak i tansze mierniki dzialaja dobrze tylko z pradem przemiennym o czestotliwosci 50Hz. Powyzej jak np. 1 KHz beda kosmiczne bledy pomiarowe. Bez oscyloskopu ani rusz jesli pomiar ma byc wiarygodny i uczciwy.

    Audio Serwis   25 Paź 2007 20:38 Odpowiedzi: 7    Wyświetleń: 4680
  • Oscyloskop na AVR prezentacja urządzenia

    Używając stałego generatora nie będziemy mogli zmieniac podstawy czasu. podstawę czasu ustawia uC, generatorem PWM na końcówce 15. Tak zgadza sie na koncowce 15 uC jest PWM, ale jego zadaniem jest wysterowanie wzmacniacza operacyjnego (regolacja podzialki V). Zawsze można zastosować 74HC590, a nie wykorzystywać Xilinx'a do takich rzeczy! Max czestotliwosc...

    DIY Zagranica   15 Lis 2009 16:18 Odpowiedzi: 47    Wyświetleń: 34740
  • Jaki oscyloskop, jak dobrac parametry?Pomocy.

    witam wszystkich, mam maly problem, otoz potrzebuje oscyloskop, ale nie wiem za bardzo jak mam dobrac jego parametry. Musze zmierzyc sygnal, ktorego Ta(czas narastana) jest w granicach 3,5 ns. Potrzebuje zdolnosc rozdzielcza i czestotliwosc probkowania. Dzieki za pomoc.

    Inne Co kupić?   16 Mar 2008 19:09 Odpowiedzi: 0    Wyświetleń: 651
  • Jaką solidną sondę do oscyloskopu kupić?

    A moze ktos wie jak jest zrobiona sonda pojemnosciowa wykorzystywana w diagnostyce samochodowej? Taka sonde mozna by chyba zrobic samodzielnie bo czestotliwosci niewielkie

    Warsztat elektronika   08 Wrz 2008 08:55 Odpowiedzi: 6    Wyświetleń: 1527
  • Jak zobaczyć przebieg o częst. ok 850MHz oscyloskopem do 500

    Chce zobaczyc przebiegi o czestotliwosci ok 850MHz jednak moj oscyloskop jest jedynie do 500MHz. Czy mozna jakos temu zaradzic bez kupna oscyloskopu o wiekszym pasmie bo ich cena nie jest dla zwyklego smiertelnika MOzna poratowac sie jakas przystawka lub cos w tym stylu? To i tak masz szybki oscyloskop... Chyba że on jest opisany "do 200MHz" a "jakoś...

    Radiotechnika Serwis   19 Paź 2008 16:16 Odpowiedzi: 1    Wyświetleń: 1042
  • Miernik czestotliwosci AVR-problem z pomiarem

    Jesteś pewien, że tak generator z tak podłączonym obwodem LC generuje jakiś sygnał. Wstaw schemat tego generatora. Albo jak masz możliwość to po prostu podłącz oscyloskop i sprawdź czy jest sygnał z generatora.

    Warsztat elektronika   02 Lis 2008 13:48 Odpowiedzi: 4    Wyświetleń: 2129
  • Przełącznik elektroniczny do oscyloskopu

    Posiadam taki schemat.Postaram sie w tym tygodniu go zeskanowac i wrzucic na forum.U mnie pracuje juz przeszlo 30 lat.Czesciowo go udoskonalilem, bo wstawilem mozliwosc zmiany czestotliwosci probkujacej, przez dodanie drugich kondensatorow przelancznych przekaznikiem kontaktronowym.Tej zmiany nie ma na schemacie.

    Warsztat elektronika   20 Lis 2008 21:33 Odpowiedzi: 4    Wyświetleń: 1986
  • Wskazanie oscyloskopu

    skoro to sinus sproboj zmierzyc miernikiem jesli czestotliwosc na to pozwala byc moze generator ma złe wskazanie

    Początkujący Elektronicy   16 Gru 2008 14:09 Odpowiedzi: 7    Wyświetleń: 2134
  • Dzielnik czestotliwosci w przedziale 3-4

    Witam, Witam jeszcze raz i dziękuję Quartzowi za dotychczasowa pomoc. Proszę bardzo, cała przyjemność po mojej stronie ... :D Dorwałem wczoraj oscyloskop, pomierzyłem dokładnie wszystko i chyba niestety muszę zmienić plany. Sygnał z jakiegoś przetwornika (??, dwa wzm.op. w jakimś dużym układzie) któremu chciałem zmniejszyć częstotliwość jest strasznie...

    Początkujący Elektronicy   20 Mar 2009 23:12 Odpowiedzi: 9    Wyświetleń: 2205
  • Oscyloskop na PC czy to ma sens

    Witam. Sygnaly z toru odchylania pionowego i m.cz mozesz tym ogladac, ale na tym koniec, ze wzgledu na pasmo - do 20kHz. Poza tym nie posiada toto dzielnika wejsciowego, a nawet czulosc jest mierna, co czyni je zabawka, nieprzydatna do praktycznego uzytku. Najnizsze p.cz. jake napotkasz, to 455kHz; dalej mamy 5,5Mhz, 6,25MHz, 10,7MHz, .... Potrzebowalbys...

    Początkujący Elektronicy   19 Mar 2009 18:20 Odpowiedzi: 2    Wyświetleń: 1227
  • badanie wzmacniaczy m. cz. oscyloskopem i zasilaczy

    Witam wszystkich Mam ogolne pytanie dotyczace testowania wzmacniaczy m.cz oscyloskopem. Chodzi mi o to, zeby ktos mi wskazal jak mniej wiecej wygladaja przebiegi niepozadane na ekranie oscyloskopu (i jakim zakresem czestotliwosci oscyloskopu trzeba dyspopnowac) Mniej wiecej wiem jak wygladaja niektore sygnaly niepozadane, np. znieksztalcenia nieliniowe,...

    Początkujący Naprawy   17 Lip 2009 11:11 Odpowiedzi: 5    Wyświetleń: 6863
  • Jaki rejestrator/oscyloskop 3 lub 4 kanałowy,najlepiej do PC

    dowiedziałem sie, ze PicoSkop może rejestrować przebieg na bieżąco z max 1kS/s, to bardzo mało jak na taki sprzęt. Czy są jakies rejestratory co próbkują przynjamniej z czestotliwoscią 100Khz, w cenie do 30 tyś, przebieg moze wysyłac do kompa lub zapisywac na podpisany dysk , pamięc?

    Warsztat co kupić?   24 Sie 2009 15:07 Odpowiedzi: 1    Wyświetleń: 3022
  • Oscyloskop zakres pomiaru

    oscyloskopem nie che mierzyc czestotliwosci tylko zestrojenie filtrów/ modulacji/ i takich tam.... czy do tego celu nada sie jakis Oscyloskop z byłego ZSRR?? do czestotliwosci mam miernik pozdrawiam

    Warsztat elektronika   26 Lis 2009 18:33 Odpowiedzi: 11    Wyświetleń: 2892
  • Jaka jest czestotliwosc PWM?

    Ja licze a na koncu biore oscyloskop i mierze, albo podłaczam maly głosnik i słucham (jezeli czest. akustyczne).

    Mikrokontrolery ARM   08 Lut 2010 16:05 Odpowiedzi: 2    Wyświetleń: 1068
  • Oscyloskop do strojenia cb radio?

    a jak wygląda kwestia sond pomiarowych z tego co wiem posiada on dwie jedna 1:1 druga 1:10 czy rozszerza ona tylko zakres napiec czy tez czestotliwosci?

    Warsztat co kupić?   25 Cze 2010 22:19 Odpowiedzi: 6    Wyświetleń: 2177
  • Oscyloskop do badania torów audio

    Oferowana jest na aukcjach mała , tania przystawka do kompa o nazwie " Oscyloskop Generator Analizator sonda PC " - o oznaczeniu " ARV Electronics SO-1 " . Nie podawana jest max czestotliwość . Może ktoś przetestował to urządzenie i podzieli sie spostrzeżeniami. Dla mnie czestotliwosc pomiarów 20 kHz bylaby wystarczająca .

    Warsztat co kupić?   07 Gru 2010 16:30 Odpowiedzi: 18    Wyświetleń: 5084
  • Oscyloskop cyfrowy do 2000 złotych.

    Sorki za to ze odpisuje dopiero teraz . Mialem dokladniej na mysli to iz obserwujac przebiegi w real czasie widzisz na ekranie tylko okolo 1/10-1/30 (w zaleznosci od czestotliwosci prubkowania i podstawy odchylania) przebiegu ,aby przejgladnac calosc musisz zastopowac prubkowanie i przewinac zapisany przebieg na ekranie, ale podejzewam ze itak niebedzie...

    Warsztat co kupić?   13 Gru 2010 21:40 Odpowiedzi: 35    Wyświetleń: 9361
  • Cyfrowy/analogowy, jaki oscyloskop kupić?

    Teraz widze roznice dokladnie, dziekuje za zwrocenie mi uwagi. Moje pytanie dotyczylo pomiaru niskich czestotliwosci w stosunku do mozliwosci oscyloskopu cyfrowego. Np za pomoca oscyloskopu cyfrowego 100MHz ogladac przebieg ponizej 1MHz. Jednak biorac pod uwage fakt ze czestotliwosc probkowania jest drastycznie zmniejszana chce kupic analogowy i dziekuje...

    Warsztat co kupić?   14 Wrz 2015 15:11 Odpowiedzi: 18    Wyświetleń: 14763
  • Zakup oscyloskopu HP 54111D warto ?

    Ok zadzwonilem troche do paru firm potem do atlantec,a i zaproponowani mi jak nowy TDS2002B kompletny z torba sondami po testach i kalibracji za 2550zł. Brać ? Dodam ze głównie zajmuje sie audio składam przedwzmacniacze lampowe wiec czestotliwosc 60MHz mi wystarczy.

    Warsztat co kupić?   02 Mar 2012 11:11 Odpowiedzi: 19    Wyświetleń: 4447
  • Atmega8 i czestotliwosc generatora wewnetrznego >8MHz

    Jeżeli można wiedzieć o ile będzie wiesza częstotliwość? (+/-) i jakie sa szanse na spalenie atmegi, ew. zawieszanie się programu? Dodano po 16 No i jeszcze kilka pytan.. czy przy wpisaniu 255 wartość zapisuje się w pamięci ulotnej czy nie? tzn chodzi mi czy po ponownym podłączeniu uC do prądu częstotliwość wróci? jeżeli nie jak później kalibrować by...

    Mikrokontrolery AVR   29 Gru 2012 15:48 Odpowiedzi: 18    Wyświetleń: 2202
  • Kupno oscyloskopu, na co zwrócić uwagę?

    Podstawa to zakres czestotliwosci sygnalu Dwukanalowy to jakbys mial wszystko tzn tylko dwa jak na dloni Nie od rzeczy tez srednica ekranu

    Warsztat co kupić?   19 Mar 2013 00:17 Odpowiedzi: 3    Wyświetleń: 1662
  • Zmiana czestotliwosci radiowego sterownika bramy.

    W specyfikacji HomeLinka jest, ze powinien działać z Wiśniowskim po 433 - no chyba że masz auto (albo samo lusterko) ze stanów. Ja bym raczej poszedł w kierunku Chińczyka - odbiorniki Wiśnowskiego mają rezonatory SAW które ciężej dostać na dziwne częstotliwości a nie normalne kwarce, poza tym musiałbyś na pełen etat zatrudnić elektronika z dobrym oscyloskopem...

    Automatyka bram, szlabanów, rolet   05 Lip 2015 09:22 Odpowiedzi: 7    Wyświetleń: 6507
  • Jaka czestotliwosc jest optymalna dla PWM?

    (at)Piotr Piechota Zakładasz, że narastanie i opadanie prądu jest symetryczne. Zobacz na rysunek: Jak widać, przy wyższej częstotliwości pole powierzchni pod krzywą prądu jest niższe. W efekcie odbija się to na momencie, najbardziej, jeśli jesteśmy przy średnim wypełnieniu PWM: To samo widzę na oscyloskopie i czuję bawiąc się silnikiem - zwiększając...

    Mikrokontrolery AVR   25 Gru 2015 22:35 Odpowiedzi: 21    Wyświetleń: 4554
  • Jak zmierzyc czestotliwosc miernikiem MC56 firmy MJM

    Witam Dawno , dawno temu kupiłem miernik MC56 wraz z dodatkowym modułem MCW2 . Teraz staram się uruchomić i dokonać kalibracji analizatora EU1KY. W opisie kalibracji podane jest ze należny ustawić częstotliwość na 27 Mhz i dokonać pomiaru częstotliwości za pomocą zewnętrznego miernika ( MC56 ) aby później zapisać w pamięci odchyłkę. Problem jaki mam...

    Radiotechnika Początkujący   05 Wrz 2017 14:54 Odpowiedzi: 22    Wyświetleń: 4434
  • Oscyloskop samochodowy Hantek 1008B

    witam, przepraszam za odgrzewanie kotleta ale mozesz napisac jak Ci sie pracuje na tym oscyloskopie?? zamierzam kupic hanteka1008c i się zastanawiam czy daje rade czy nie lepiej jakas dwu kanałówke wiekszej czestotliwosci niz 2,4 ms/s

    Warsztat co kupić?   21 Lis 2018 00:03 Odpowiedzi: 14    Wyświetleń: 6588
  • Brak komunikacji I2C z BME280 na STM32 F411RE - krzaki na oscyloskopie

    Maksimum to 4k7. Nie wiem skąd wziąłeś te 10 k. Wewnętrzne to i mogą mieć 50k. Zmień na 4k7 i daj prędkość na 100 KHz. Od tego zacznij testy. Jak masz płytkę stykową to daj krótkie przewody i zadbaj o dobre połączenia. dalem 4.7k. czestotliwosc probowalem miedzy 10-100 000 .przewody mam kolo 20cm. za nim je potne, jest duza szansa ze to zrobi roznice?[/quote]

    Mikrokontrolery Początkujący   25 Sie 2019 19:06 Odpowiedzi: 19    Wyświetleń: 1521
  • Szukam schematu testera kwarców do 1MHz lub przystawki do oscyloskopu, niezadowolenie z CD4060

    Bardziej chodziło mi o dokładny pomiar tego kwarca za pomocą czestotliwosciomierza lub oscyloskopu.

    Początkujący Elektronicy   25 Gru 2020 17:49 Odpowiedzi: 37    Wyświetleń: 2280
  • Oscyloskop WAVE2 - młodszy brat DSO150

    Sonda z zestawu w specyfikacji jest do 600vdc. Dzisiaj go złożę i sprawdze😉 PS. Sprzęt złożony i pobierznie testowany. Sam oscyloskop dziala powiedzmy poprawnie. Zakres korekt co prawda jest dość ubogi ale działa. Jedynie odświeżanie obrazu mogło by być większe. Porównywalne że stacjonarnym oscyloskopem i zadziwiająco dobrze odzwierciedla wartości...

    Artykuły   30 Kwi 2021 10:16 Odpowiedzi: 24    Wyświetleń: 7620
  • Zasilanie akumulatorowe do oscyloskopu Rigol DS1074Z

    (at)costec uzupełnij wypowiedź, dodaj jeszcze jeden punkt, może być dosyć istotny . Choć na początku "kariery" (at)mlodytechnik666 nie będzie miał takiego przypadku... lecz kto wie. Bardzo ciekawy artykuł o tym jak przy wyższych częstotliwościach pogarsza się próg czucia porażenia, i jak zbliża się do rogu samouwolnienia. A każda przetwornica sieciowa...

    Projektowanie Układów   18 Cze 2022 20:48 Odpowiedzi: 53    Wyświetleń: 984
  • Oscyloskop Rigol DS1054Z - Nieprawidłowe wyświetlanie widma przy 12kHz

    gdzie lezy granica absurdu w cenie ? :D Dodano po 2 Tym FFT się nie przejmuj, to w dużej mierze softowa kwestia i może zostać poprawiona Dodano po 4 Przez lata miałem DPO2014, prosty, ciężki, spory, ale to już była linia PRO jakość super, opcji zero, 4 tryby wyzwalania, prosta matma, ale niedzielone próbkowanie, pewny powtarzalny wynik, niskie szumy...

    Warsztat elektronika   26 Cze 2022 04:28 Odpowiedzi: 27    Wyświetleń: 960
  • Eliminacja zakłóceń w.cz. przy pomiarach oscyloskopem

    chemik007: co masz na mysli piszac starszej generacji? powiedzmy tektronix 475 - pasmo 2x200mhz, liczne opcje wyzwalania, w opcjach rownierz miernik uniwersalny i czestotliwosciomierz - jak na polskie warunki dla wielu z nas szczyt marzen (przynajmniej dla mnie - oczywiscie apetyt rosnie w miare jedzenia) najlepsze jest jednak to, ze mam go w katalogu...

    Warsztat elektronika   17 Lut 2005 23:58 Odpowiedzi: 24    Wyświetleń: 3724
  • Programowany Generator niskich częstotliwości

    Witaj. Skądś znam ten problem, ktoś mnie o coś takiego prosił. Tyle, że wartości częstotliwości i wypełnienia były ustawiane potencjometrami. Programik był napisany na ATtiny26, wartości częstotliwości i wypełnienia nieco sie różnią, ale możesz sobie to zmienić. Programik testowałem (ale bez oscyloskopu). W razie błędów proszę o łagodny wymiar kary...

    Mikrokontrolery   10 Lut 2008 21:48 Odpowiedzi: 12    Wyświetleń: 3840
  • Pomysł na ćwiczenie

    No widzisz i od razu lepiej.Mozesz jeszcze dorzucic pomiar czasu narastania i opadania za pomoca oscyloskopu.Dla malych czestotliwosci powinno byc bez problemu.Mozesz zbadac wplyw dokladnosci uzytych elementow zewnetrznych na stabilnosc i wartosc czestotliwosci.Mozesz zbadac przy jakim napieciu i warunkach na poszczegolnych wejsciach uklad sie zalacza...

    Projektowanie Układów   07 Mar 2004 14:10 Odpowiedzi: 9    Wyświetleń: 1383
  • próbkowanie i przetwarzanie w.cz.

    Witam! Zastanawialem sie nad jedna sprawa. Spotykamy sie zwlaszcza z cyfrowymi oscyloskopami ktore pracuja czesto w zakresie przewyzszajacym znacznie 100 MHz. Jesli np. chcielibysmy zprobkowac przebieg o czestotliwosci 300 MHz to zgodnie z prawem o probkowaniu potrzebowalibysmy min. 600 MHz a ze w praktyce okazaloby sie to za malo rozsadna wartocia....

    DSP i Transmisja   31 Lip 2006 01:21 Odpowiedzi: 2    Wyświetleń: 2572
  • Dziwne zachowanie kondensatora

    Witam! Zbudowalem generator na wzmacniaczu operacyjnym LM358 (typowy, "szkolny" uklad). Kondensator jaki dalem to 220nF ceramiczny. Podlaczylem to pod oscyloskop i miernik czestotliwosci, ustawilem czestotliwosc na 50Hz. Ale co mnie zaskoczylo - wystarczy dotknac kondensatora aby czestotliwosc wzrosla do 58Hz. Stwierdzilem ze ma to zwiazek z temperatura,...

    Początkujący Elektronicy   08 Cze 2006 18:31 Odpowiedzi: 5    Wyświetleń: 2318