REKLAMA

praktyczne projekty

Znaleziono około 6041 wyników dla: praktyczne projekty
  • Praktyczna realizacja projektów z programu Fluid sim

    Witam! Jestem początkujący. Bawię się w programie fluid sim i próbuję stworzyć układ do żarówki na półpiętrze w domu. Powinno dać się ją włączać i wyłączać na dole, jak też na górze oraz włączać na dole, wyłączać na górze i na odwrót. Udało mi się taki układ zrobić na stycznikach: A czy dałoby się w praktyce zrobić poniższy układ? To znaczy użyć dwóch...

    Elektryka Dla Każdego   30 Lis 2014 13:41 Odpowiedzi: 3    Wyświetleń: 2541
  • Projekty profesjonalne...

    Może to co zaproponuję jest nie do końca tym o co chodziło lukasowi0987, ale oczywiste jest to, że profesjonaliści nie pokażą swoich projektów w całej okazałości z powodów już wcześniej przytoczonych. Dlatego proponuę, żeby wszyscy profesjonaliści podzielili się wiadomościami dotyczącymi ogólnej sztuki projektowania płytek drukowanych tj. prowadzenie...

    Projektowanie PCB   02 Cze 2004 08:33 Odpowiedzi: 11    Wyświetleń: 1935
  • Projekty na ATmelach. Głównie na 51, ale mogą być też AVR.

    Witam wszystkich . Mam do Was forumowicze prośbę / pytanie . Szukam ciekawych projektów opartych na prockach atmel przedewszystkim 51 ale mogą byc też AVRy. Szukałem w wyszukiwarce , jednak nie zwraca jakiś konkretnych postów. Wiem że w EP i EDW są czasem projekty jednak jak na razie nic ciekawego nie znalazłem. Zaczynam dopiero przygode z procami i...

    Mikrokontrolery   03 Mar 2005 00:35 Odpowiedzi: 1    Wyświetleń: 1179
  • REKLAMA
  • Gdzie szukać projektów, gdzie silniki krokowe są wysterowane za pomocą Arduino?

    Witam czy znacie jakieś ciekawe projekty w których silniki krokowe są wysterowane za pomocą Arduino ? Fajnie by było żeby podawali części z jakich składa się ten cały układ, widziałem jakieś zestawy ale fajnie żeby były one dostępne w Polsce. Tak, tu masz ich ze dwadzieścia.. Same silniki krokowe nie tworzą jeszcze praktycznie użytkowego projektu....

    Arduino   01 Maj 2021 19:06 Odpowiedzi: 4    Wyświetleń: 324
  • Propozycje rozwiązań projektu z prostownikiem sterowanym.

    Mam pytanie a raczej prośbę o sugestie jak można rozwiązać i na co mam uważać przy realizacji mojego projektu o temacie: „Prostownik jednofazowy ze zwrotem energii”?? Parametry: -częstotliwość oczywiście sieciowa 50Hz :) -napięcie zasilania oczywiście sieciowe -sakramencki prąd według mnie, wynoszący blisko 20A -prostownik bez nawrotu -sterowany...

    Elektro Maszyny i Urządzenia   28 Lut 2005 15:01 Odpowiedzi: 0    Wyświetleń: 1184
  • [Projekt] Profesjonalnie amatorski mikser DJ-ski

    Widzę, że zainteresowanie projektem jest ogromne :D W każdym razie, udało mi się, w pewnym sensie pokonać ograniczenie w postaci ilości pamięci ram przeznaczonej na opóźnienia. Jak wspominałem, dostępna pamięć wynosi 32768 próbek co przy częstotliwości próbkowania 48kHz daje ~683ms opóźnienia. Gdyby miała to być konstrukcja monofoniczna, taki czas opóźnienia...

    DIY w budowie   26 Lip 2022 08:19 Odpowiedzi: 3    Wyświetleń: 2058
  • Projekt - Symulator NS-2 + przykłady

    ma ktos moze cos na temat tego symulatora, musze to oddac jak najszybciej i nie mam praktycznie nic.

    Początkujący Elektronicy   26 Maj 2005 18:40 Odpowiedzi: 1    Wyświetleń: 1496
  • Projekt w Live-Studio 2

    Czy ktoś byłby wstanie wykonać w tym programie pokaz 20slajdów+opis(np. o drukarkach), gdyż nie miałem z nim doczynienia i jestem w nim praktycznie zielony.

    Początkujący Elektronicy   07 Lut 2006 04:43 Odpowiedzi: 0    Wyświetleń: 601
  • Ocena projektu przetwornicy SMPS

    Ten scalak jest srednio dostepny w Polsce. Niby to jest rozwiązanie podane na tacy ale jest wiele innych kontrolerów gdzie gotowy uklad z nimi jest rowniez na tacy. I chociazby kontrolery od ST są łatwiej dostępne. Pomijając już, że jeśli ktoś chce ultra miniaturową przetwornicę 3kW która zajmuje objętość dwóch paczek papierosów, to już tylko mikrokontroler...

    Układy Zasilające   29 Cze 2014 22:26 Odpowiedzi: 6    Wyświetleń: 1692
  • REKLAMA
  • Ośla łączka z AVT. Czy warto to kupić? Elektronika od strony praktycznej.

    Witam): Jestem początkującym elektronikiem...i mam do was pytanie czy warto kupic sobie zestaw ośla łączka z avt...czy to pomoże mi poznać elektronike od strony praktycznej ....bo z teorią nie ma problemu ...... Pozdrawiam Mysle, ze na poczatek to dobry pomysl :) Latwiej jest poznac dzialanie ukladow na prostych gotowych projektach, szczegolnie ze...

    Początkujący Elektronicy   16 Mar 2006 18:10 Odpowiedzi: 8    Wyświetleń: 6983
  • REKLAMA
  • Wieczny zasilacz. Podobne projekty. Zamierzam opatentować.

    chyba w latach 60 moze 70 byly produkowane radia ktorch nie trzeba bylo zasilac i dzialay wlasnie w ten sposob a wtedy raczej nie bylo sieci komorkowych a zatem nie bylo rowniez tak silnych nadajnikow co do daty to moge sie mylic ale wiem ze cos takiego na pewno bylo chociaz zasilacz taki bardzo chetnie bym zakupil jezeli kiedys byla by taka mozliwosc...

    Projektowanie Układów   21 Sie 2007 22:38 Odpowiedzi: 32    Wyświetleń: 4211
  • Regulator lutownicy z Elektroniki Praktycznej PE3/99 do kolby Hot Air

    Witam. Jestem właśnie w trakcie budowy Kondensator C7 oprócz tego że ma być na 250V czy konieczne jest zmiana jego wartości ? Jaki przekaźnik będzie odpowiedni ? W oryginalnym projekcie wykorzystywany jest transformator 2x12 2x2.0A. Ze względu na to że grzałka kolby będzie zasilania bezpośrednio z 230V chciałbym zastosować transformator z jednym uzwojeniem...

    Początkujący Naprawy   03 Lut 2013 17:06 Odpowiedzi: 0    Wyświetleń: 4668
  • czym zarządzać projektami z OrCada 3.22 i Autotraxa 1.61

    CM nie przyjmie raczej plików OrCada. Protel 99 o ile wiem, tak, ale w jakim stopniu je przyswoi, to już zalezy od projektu. Kiedyś się bawiłem OrCadem, ten za to przyjął pliki Protela 99, bez jakichkolwiek problemów, jedynie miał objekcje w zastosowanych na płytce polygonach. Te musiałem dorabiać ręcznie. A więc, jeżeli Orcad (testowałem na wersji...

    Projektowanie PCB   03 Gru 2006 23:45 Odpowiedzi: 4    Wyświetleń: 2125
  • Elektronika analogowa czy cyfrowa? - projekty, nauka pomiarów i praca zdalna dla inżyniera

    Hej, Za rok skończę studia I stopnia na kierunku "Elektronika i telekomunikacja". Pracuję jako technik elektronik w utrzymaniu ruchu testerów. Jak myślicie, czy powinienem się bardziej skupić na elektronice analogowej czy cyfrowej? Jakie projekty powinienem zrobić? Gdzie mam się nauczyć pomiarów z wykorzystaniem oscyloskopu? Niestety studia zaoczne...

    Nauka Szkolnictwo   09 Maj 2023 22:31 Odpowiedzi: 13    Wyświetleń: 354
  • POMOC W PROJEKCIE WZMACNIACZA???

    :arrow: sztachu: a co za problem? Napisz po angielsku, ten język znają wszyscy elektronicy, szczególnie na zachodzie Europy, na południu myślę, że też. A co do wzmacniacza: coś podobnego było zdaje się w 2002 roku w Elektronice Praktycznej. Jeśli Cię to zainteresuje, to mogę poszukac i przeskanować. Tam też przedwzmacniacz był na lampach, a końcówka...

    Audio Serwis   02 Mar 2003 21:47 Odpowiedzi: 7    Wyświetleń: 1619
  • Projekt ładowarki MAX712. Nie mogę znaleźć wątku.

    Właśnie dostałem MAX'y i wezmę się za zrobienie tej ładowarki z projetu Elektroniki Praktycznej. Mam jeszcze w związku z tym pytania: Zakładam, że ładowarka będzie ładować 5 ogniw (2200mAh). Nie zależy mi na superszybkim ładowaniu więc wykorzystam najdłuższy oferowany przez układ czas. 1. Jaki tam dać zasilacz? Wystarczy transformator i mostek prostowniczy?...

    Projektowanie Układów   17 Sty 2007 19:09 Odpowiedzi: 15    Wyświetleń: 4476
  • Ciekawe projekty-prezentacje zegarów

    Widmowy - super wygląda. Robią wrażenie;D tyle tylko ze ten ostani faktycznie 'niepraktyczny'. Noo ale to na pokaz ;o) pzdr

    DIY Zagranica   29 Cze 2011 17:20 Odpowiedzi: 32    Wyświetleń: 18832
  • Kto wykona projekt płytki ze schematu?

    Ja się pisze. Mam trochę wolnego czasu i chętnie posiedzę chwilkę nad tym. W jakim programie preferujesz (Altium, Cadstar, CR-5000, Protel, Orcad, Mentor, Eagle, Edwin)? Podejrzewm, ze ten schemat to jakiś klocek audio z Elektroniki Praktycznej. Zgadza się? Jakie układy scalone? Bo na schemacie ich nie ma - klucze to 4066 a wzmacniacz operacyjny poczwórny...

    Ogłoszenia Elektronika   20 Paź 2008 17:00 Odpowiedzi: 7    Wyświetleń: 2339
  • Budowa wzmacniacza projekt płytki.

    Witam. Zabieram się za zbudowanie dla kolegi przenośnego wzmacniacza 2.1 na 12V. Planuje on budowę boomboxa. Poprosił mnie o zrobienie części elektronicznej. Przeglądając fora natknąłem się na układ tda7379. Ma on możliwość zmostkowania 4 kanałów na 2 oraz konfiguracji 2.1. Znalazłem nawet fajny projekt razem z płytką Tylko że ja bym chciał użyć 2 układów,...

    Początkujący Elektronicy   23 Mar 2014 17:55 Odpowiedzi: 0    Wyświetleń: 999
  • Projekt wzmacniacza 2.1 - potrzebna porada w budowie

    Witam. Zabieram się za zbudowanie dla kolegi przenośnego wzmacniacza 2.1 na 12V. Planuje on budowę boomboxa. Poprosił mnie o zrobienie części elektronicznej. Przeglądając fora natknąłem się na układ tda7379. Ma on możliwość zmostkowania 4 kanałów na 2 oraz konfiguracji 2.1. Znalazłem nawet fajny projekt razem z płytką Tylko że ja bym chciał użyć 2 układów,...

    Projektowanie Układów   24 Mar 2014 18:46 Odpowiedzi: 2    Wyświetleń: 1392
  • Otwarty projekt wytrawiarki opartej na Atmega48

    Jak bym miał zrobić rozpoznanie to na jakimś foto czujniku a mianowicie PCB pokryte laminatem nie przepuszcza światła a po wytrawieniu już tak zatem należało by czujnik ustawić na wiązkę światła które by świeciło na PCB gdy proces trawienia dobiegł by końca czujnik by zareagował Taki pomysł padł już kilka lat temu w elektronice praktycznej. Póki co...

    DIY Konstrukcje   20 Lut 2015 14:53 Odpowiedzi: 36    Wyświetleń: 11886
  • Projekt na Altusach 140...

    wiezlak: myslisz ze jakimis teoretycznymi rozwazaniami zrobisz lepsza zwrotnice niz tonsil zrobil na podstawie pomiarow? Mam wrażenie, że nie przeczytałeś uważnie wątku - proszę, oto krókie streszczenie. Kolega bbeettoonn zmienił w Altusach niskotonowca na STX 30-100-8, wysokotonowca na GDWT 9/150 1F i chce zmienić cięcia zwrotnicy. Nie interesuje...

    Audio Nagłośnienie Domowe   04 Lis 2006 10:33 Odpowiedzi: 19    Wyświetleń: 1753
  • [Zlece] Zapłace za program, projekt i garść informacji.

    2zł?, 150zł? Takie cuda to tylko w Erze lub u Chińczyków. Realnie- ogólny zarys algorytmu, wybór mikrokontrolera, napisanie programu, symulacja, stworzenie wersji prototypowej, przetestowanie praktyczne. W 2 godziny? Ciekawe.

    Ogłoszenia Elektronika   10 Kwi 2010 21:45 Odpowiedzi: 19    Wyświetleń: 1915
  • Nowa instalacja w bloku - czy poprawny projekt?

    Koledzy możecie się przemawiać do rana ale odbiegacie od tematu. Minimaks zaczął coś o piętrze jeśli mamy mieszkanie jedno poziomowe bez schodów. Koledze pytającemu w zupełności wystarczy 1 RCD na gniazda a drugi na oświetlenie ale nie konieczne. ta instalacja będzie spełniać normę o samoczynnym wyłączeniu zasilania. Jeśli ktoś chcę wypasioną instalację...

    Elektryka Dla Każdego   02 Lis 2010 18:48 Odpowiedzi: 21    Wyświetleń: 6943
  • Altium - nieskomplikowany projekt

    Witam mam do napisania pracę, która opisuje z grubsza program Altium Designer. Co do części teoretycznej to mam to zrobione. Gorzej jest z praktyczną. Chodzi o to, że muszę przedstawić jakiś nieskomplikowany projekt płytki PCB wykonany w Altium oraz jakąś również nieskomplikowaną symulację. Czy byłby ktoś w stanie mi pomóc? Może ktoś ma gotowe takie...

    Projektowanie PCB   12 Paź 2012 06:37 Odpowiedzi: 1    Wyświetleń: 1506
  • projekt scalonego wzmacniacza akustycznego

    Witam! 1. Nadachowski N., Kulka Z. : Analogowe układy scalone. Warszawa, WKiŁ 1979 2. Kulka Z., Nadachowski M. : Wzmacniacze operacyjne i ich zastosowanie cz.2 realizacje praktyczne. Warszawa, WNT 1982. 3. Sonta S., Kotlewski H. : Układy scalone liniowe i ich zastosowanie. Warszawa, WNT 1977. 4. Rusek M., Ćwirko R., Marciniak W. : Przewodnik po elektronice....

    Nauka Szkolnictwo   29 Sty 2013 19:59 Odpowiedzi: 2    Wyświetleń: 900
  • Niemarkowy Oscyloskop Cyfrowy - czy taki projekt ma sens?

    Witam, Chcialbym sie dowiedziec jaka jest Wasza ocena pomyslu na projekt od strony ekonomicznej. Czy cos takiego ma szanse potencjalnie przyniesc jakis profit. Otoz pracuje nad oscyloskopem o nastepujacych parametrach: - 2 kanaly - 8-bitowe - 250 Ms/s na kanal (czestotliwosc probkowania 250 MHz) - po 256 kilobajtow pamieci probek na kanal - alternatywnie...

    Projektowanie Układów   27 Sty 2007 23:20 Odpowiedzi: 4    Wyświetleń: 2498
  • Projekt sieci komputerowej

    Witam. Jestem student V roku na Uniwersytecie, kierunek: Informatyka, specjalizacja: inżynieria komputerowa. Tak się składa, ze do końca czerwca muszę obronić prace (mgr inz) pt: Popularyzacja Polityki Bezpieczeństwa Systemów Informatycznych. Temat sam wybrałem ponieważ interesuje się ta dziedzina wiedzy. Cześć teoretyczna pracy mam już praktycznie...

    Sieci Początkujący   21 Kwi 2010 18:51 Odpowiedzi: 0    Wyświetleń: 1460
  • Projekt Gryzi Window - testowanie? Udaje system operacyjny.

    (at)juniaq: To dobrze że programujesz, chwali się. Oby jak najwięcej. Jednak zaraz wytłumaczę Ci jaki jest sens takich projektów jak macos czy youos (swoją drogą zainteresuj się eyeOS - też fajny) oraz postaram się wytłumaczyć czemu Twój projekt nie tyle bez sensu, co niepraktyczny. Chcę się tutaj przychylić do opinii krzychoocpp. Otóż te wszystkie...

    Programowanie   25 Sie 2007 16:27 Odpowiedzi: 12    Wyświetleń: 1515
  • Fizyczna realizacja projektu: złącze DC i masa

    Witam. Zabawę z elektroniką ‘praktyczną’ dopiero rozpoczynam, dlatego pytania, jakie mam zamiar zadać mogą wydać się wam śmieszne, jednak proszę o wyrozumiałość. Na swój pierwszy projekt praktyczny, wybrałem zegar cyfrowy. Projekt niezbyt wyszukany – w sieci tysiące rozwiązań, opisów, porad. Sam schemat ideowy wykonałem, wydaje mi...

    Początkujący Naprawy   06 Cze 2010 21:24 Odpowiedzi: 3    Wyświetleń: 1809
  • Witam pytanie o arkusz egzaminu czesci praktycznej tech. elektryk czerwiec 2010

    Wszystko jest na forum łącznie z odpowiedziami: Niestety to jest czesc teoretyczna mi chodzi o czesc praktyczna z zadaniem ktorem mam wykonac przykład: TECHNIK ELEKTRYK - CZERWIEC 2009 Zadanie nr 1 (etap praktyczny) W pomieszczeniu pracowni informatycznej, zabezpieczonym systemem alarmowym (Załącznik 1, 2, 3), realizowane były prace remontowo-budowlane....

    Nauka Szkolnictwo   02 Lut 2016 18:11 Odpowiedzi: 9    Wyświetleń: 6885
  • Projekt dobrych budżetowych nadstawek 12+1

    Witam, Kiedyś przeszukując forum dość często natykałem się na tematy odnośnie budowy nagłośnienia - oczywiście niedrogo, dla początkującego itd. Z tego co widzę z basem nie ma takiego problemu co z górkami. Jest choćby popularne TH15s albo klasyczny br pod nazwą ubr15. No właśnie, ale te górki. Nikt za bardzo nie wie jakie, a jak wie to pojawia się...

    Głośniki i Zestawy Głośnikowe   14 Maj 2015 11:22 Odpowiedzi: 30    Wyświetleń: 4227
  • Systemy zbierania energii - realizacje praktyczne

    Drugie prawo termodynamiki mówi, że energia w przyrodzie przepływa z stanu o wyższej do stanu o niższym uporządkowaniu, tzn takiego który jest mniej zorganizowany i bardziej chaotyczny. Zasadniczo straty energii w systemach elektronicznych i innych to coś, z czym nauczyliśmy się żyć, jednakże już od jakiegoś czasu naukowcy i inżynierowie przyglądają...

    Artykuły   14 Lip 2016 16:14 Odpowiedzi: 3    Wyświetleń: 3180
  • Projekt i wydruk adaptera/nakładki na akumulator Makita BL1830 18V

    Witajcie moi drodzy. Przedstawię tu pomysł na praktyczny wydruk 3D: adapter akumulatora Makita BL1830 18V. Temat ten obejmie projektowanie i wydruk nakładki kompatybilnej ze stykami akumulatora BL1830 18V i będzie stanowić podstawę do dalszych projektów, obejmujących przede wszystkim przejściówkę Makita->Skil (12V), a być może też latarkę LED lub power...

    DIY druk 3D   04 Lip 2021 21:43 Odpowiedzi: 1    Wyświetleń: 4632
  • Potrzebny projekt przejściowy na studia.

    oj nie gadaj, chyba żartujesz? same akcelerometry to praktycznie temat woda, począwszy od układów scalonych Analog'a a skończywszy na starszy, mechaniczno-elektronicznych rozwiązaniach. Sam opis zasady działania układów scalonych to kilka stron wraz ze schematami. Schemat urządzenia to kolejne kilka stron jesli zaczniesz go omawiać... to jest naprawdę...

    Początkujący Elektronicy   28 Wrz 2006 22:27 Odpowiedzi: 3    Wyświetleń: 3058
  • Książki z projektami wykorzystującymi mikrokontrolery

    Oprócz książek warto przejrzeć dział DIY. Jest w nim wiele projektów z uC Atmela. Natomiast z literatury do przejrzenia polecam: - Baranowski R. - Mikrokontrolery AVR ATmega w praktyce - 2005, - Doliński J. - Mikrokontrolery AVR w praktyce - 2003, - Francuz T. - AVR. Praktyczne projekty, - Kardaś M. - Mikrokontrolery AVR. Język C. Podstawy programowania,...

    Mikrokontrolery   26 Paź 2015 15:59 Odpowiedzi: 1    Wyświetleń: 615
  • Klon Arduino Nano i moduł nrf24 - brak transmisji danych w projekcie pilota RC

    Schemat jest praktycznie taki sam jak z tej strony , dodałem tylko dodatkowe dwa wejścia analogowe, program też jest praktycznie taki sam tylko dodane są wejścia A4 i A5. Kawałek kodu był testem czy wszystkie piny działają i tylko na 13 pinie cały czas było 0 tak jakby był on złączony z masą (może tak ma być). A próbowałeś może skonfrontować to spostrzeżenie...

    Arduino   30 Mar 2021 17:45 Odpowiedzi: 7    Wyświetleń: 456
  • Garść praktycznych porad dla początkujących 2

    1. Jaką lutownicę i jakie groty wybrać? Lutownice transformatorowe to zabytki techniki przydatne chyba tylko do topienia wielkich kawałków cyny. Jeśli musisz wytopić 5-10 gramów cyny na raz, to robisz to źle. Są na Elektrodzie ludzie, którzy "transformatorówkami" lutują nawet SMD - trudno mi się zdecydować, czy demonstrują tak niesamowite umiejętności,...

    Poradniki Elektronika   08 Gru 2022 13:43 Odpowiedzi: 109    Wyświetleń: 6276
  • Potrzebuje projektu w prog. GNU Octave

    Temat: Aliasing. (Pomoc dydaktyczna) - ilustracja zjawiska aliasingu w zależności od parametrów próbkowania dla różnych rodzajów przebiegów czasowych okresowych i losowych. - likwidacja aliasingu poprzez zastosowanie filtrow - prezentacja widma sygnału Część teoretyczna: Przygotować krótkie wypracowanie na temat: Zjawisko aliasingu jego przyczyny, skutki...

    Początkujący Elektronicy   07 Cze 2005 07:33 Odpowiedzi: 0    Wyświetleń: 810
  • płytka do PROGATA (Praktyczny Elektronik 4/99)

    Czy ktoś się podejmie przerysowania płytki projekt w eaglu lub wykonania całej płytki. Chodzi o programator Kto używa PROGATA (Praktyczny Elektronik 4/99) link do tematu na elektrodzie: Poprawka miała by polegać na wstawieniu złącza kątowego LPT tak żeby do płytki bezpośrednio podłączać kabel z komputera a nie bawić się w jakieś kabelki + dostawienie...

    Ogłoszenia Elektronika   11 Paź 2006 20:48 Odpowiedzi: 0    Wyświetleń: 1443
  • Egzaminy zawodowe praktyczne technik elektronik !

    Zamieszczam dwa przykładowe egzaminy zawodowe etap praktyczny technik elektronik wraz z rozwiązaniami. Tego nie znajdziecie w Internecie (rozwiązań) bo są to zadania stworzone i rozwiązane przez nauczyciela i w 100% poprawne. Treść zadań + punktacja + PEŁNE, 100% POPRAWNE ROZWIĄZANIA !!! Pozdrawiam "Projekt realizacji prac związanych z uruchomieniem...

    Początkujący Elektronicy   26 Sty 2008 16:48 Odpowiedzi: 5    Wyświetleń: 3670
  • Ocen projektu mini-lodówki ;)

    A ja mam pytanie w jakim gimnazium zadają praktyczne zadania z elektroniki, jak przecież takie szkoły nie uczą nawet jej podstaw do porządku??? Aby taki projekt opracować trzeba mieć spolkro wiedzy praktycznej i umieć czytać noty katalogowe, aby ci się to od razu nie spaliło. że już o kosztach nie wspomnę. Dokładnie ... przez całe 3 lata nie mieliśmy...

    Projektowanie Układów   24 Lut 2009 19:09 Odpowiedzi: 12    Wyświetleń: 4584
  • Tact - otwarty projekt protezy dłoni

    Oto kolejny projekt zautomatyzowanej protezy zbudowanej dla tych osób niepełnosprawnych, które nie stać na zakup komercyjnej protezy wartej 30-40 tys. dolarów. Urządzenie powstało w ramach prac na Uniwersytecie technicznym w Illinois. Koszt widocznej na zdjęciach protezy szacowany jest na ok. 100$. Jest to koszt uwzględniający wyprodukowanie elementów...

    DIY Zagranica   13 Maj 2015 19:30 Odpowiedzi: 0    Wyświetleń: 3213
  • Projekt anteny LTE 1800 MHz na laminacie FR-4

    Witam Kolegów Projekt niniejszej anteny powstał na potrzeby innego projektu do którego potrzebna była antena na pasmo LTE. Można powiedzieć że jest to skutek uboczny prac nad innym urządzeniem. Jako bazę wyjściową do projektu wykorzystałem projekt anteny który dostałem od kolegi. Projekt ten jest w załączonym pliku pdf. Poniżej fotka znaleziona w necie...

    DIY Konstrukcje   15 Wrz 2022 07:52 Odpowiedzi: 13    Wyświetleń: 21324
  • Projekt instalacji - pozwolenie na budowe już wydane

    Polecam zapoznanie się z Prawem Budowlanym i instytucją nieistotnego odstąpienia od projektu budowlanego (art. 36a). Zmiany w instalacji elektrycznej generalnie (z jakimiś małymi wyjątkami) do takich zmian nieistotnych należą i bez problemu można je zrealizować bez uzyskiwania nowego pozwolenia na budowę, ale to nie znaczy, ze wymienionych w ww. przepisie...

    Elektryka Instalacje i Sieci   01 Lut 2017 20:57 Odpowiedzi: 8    Wyświetleń: 1554
  • [Zlecę] Konfiguracja projektu w C na STM32 w IDE na Windows + rozwój

    Zlecę skonfigurowanie dwóch projektów dotyczących sterowania urządzeniem audio na STM32F4xx w IDE na Windows (oraz ich późŸniejsze modyfikacje w ramach kontynuacji współpracy). Te dwa projekty mają podobną konstrukcję, są napisane w C, największa cz궜ć ich funkcjonalnośœci dotyczy przesyłania pomiędzy sobą po UART i SPI kilku typów komunikatów – synchronicznych...

    Projektowanie Bazar   11 Gru 2017 12:46 Odpowiedzi: 2    Wyświetleń: 1422
  • Projekt instalacji fotowoltaicznej off-grid dla domku letniskowego

    Jeśli chodzi tylko o projekt na studiach to można zrobić wszystko. Papier jest cierpliwy. Ale w realnym przypadku nie zalecam użycia przetwornicy jeśli nie ma bezwględnej potrzeby, gdyż prowadzi to do dużego przewymiarowania instalacji albo przerw w zasilaniu. Miałem takie zasilanie na działce przez kila lat i zdecydowanie zalecam instalację niskonapięciową...

    Energia Odnawialna   21 Lut 2020 22:25 Odpowiedzi: 5    Wyświetleń: 1587
  • ENC424J600 Arduino Ethernet Shield - pełny projekt i 11 przykładów użycia

    Witajcie moi drodzy Przedstawię tutaj mojego shielda (nakładkę) na Arduino UNO opartego o układ ENC424j600. Shield ten pozwala podłączyć Arduino do internetu bez żadnego lutowania, a nawet bez użycia kabelków i płytek stykowych. W temacie dam jedenaście pełnych przykładów jego użycia z moimi komentarzami, dotyczyć one będą tematów takich jak UDP, TCP,...

    DIY Konstrukcje   22 Lip 2020 09:09 Odpowiedzi: 1    Wyświetleń: 4836
  • DIY od pomysłu do gotowego urządzenia - cz. 2 czyli wstępny projekt

    W układ synchronizujący lampę błyskową i aparat Sony A5100 z wykorzystaniem gniazda wielofunkcyjnego tego aparatu . Układ będzie działać też jako zewnętrzny spust dla aparatu z licznymi, dodatkowymi funkcjami. Ze wstępnego śledztwa opisanego w poprzednim odcinku wynika, iż przycisk spustu w aparacie i przycisk spustu zewnętrznego są połączone równolegle,...

    Poradniki Elektronika   14 Sty 2022 11:00 Odpowiedzi: 8    Wyświetleń: 2088
  • Jak zasilać projekty DIY - kompendium

    AVE... Wczoraj napisałem dość spora odpowiedź na niektóre pytania i komentarze, ale z powodów problemów technicznych na Forum, post ten zniknął... Odnośnie zasilaczy serwerowych, to interesowałem się nimi kilka lat temu. Szukając używanych od najtańszego trafiłem na kilka z nietypowymi złączami, bez opisów i z cenami, które przekraczały ceny zasilaczy...

    Poradniki Elektronika   17 Lut 2023 09:48 Odpowiedzi: 20    Wyświetleń: 3048
  • projekt wzmacniacza, prosze o opinie.

    Trzy propozycje: 1. Elektor Elektronik nr 1/94 - rzec by można klasyka końcówki mocy na hexfetach. Zrobiłem kiedyś taką końcówkę miły w uruchamianiu, niezłe parametry. Możnaby mu jeszcze dorzeźbić jakiś układ zabezpieczający i jest cacy. 2. Elektronika Praktyczna nr 11/2000 - wersja minimum. 3. Elektronika Praktyczna nr 5/94 - tu jednak mamy zastosowany...

    Audio Serwis   10 Sty 2004 18:16 Odpowiedzi: 37    Wyświetleń: 5159
  • UKŁADY RÓŻNICZKUJĄCE -projekt

    mam do zrobienia projekt: ZESTAW LABORATORYJNY DO BADANIA PARAMETRÓW DYNAMICZNYCH UKŁADÓW RÓŻNICZKUJĄCYCH. Sprawa wygląda mniej więcej tak: -wiem co to UKŁADY RÓŻNICZKUJĄCE -rozumiem jak działają Problem polega na tym, że nie wiem jak zabrać się za projekt (ma się on zakończyć projektem płytki, a nie praktycznym wykonaniem -ufffff -na szczęście). Domyślam...

    Początkujący Elektronicy   11 Mar 2004 16:23 Odpowiedzi: 7    Wyświetleń: 4806
  • Błagam o pomoc przy projekcie

    MALY667 ZIELONY? Shrek? Marsjanin? NIE BAW SIE W TO jezeli jestes zielony. Do wykonania masz zasilacz, przetwornik, modulator, wzmacniacz nadajnik/laser (?) + zasilacz, demodulator, itd. Jak na dalsze odleglosci to masz FATALITY bo same diody Lasera i inne czesci Cie wpedza w koszty, a podczerwien j.w.. Do tego dochodzi Ci jeszcze ogniskowanie. Kto...

    Początkujący Elektronicy   08 Kwi 2005 10:43 Odpowiedzi: 14    Wyświetleń: 1968
  • Projekt subwoofera na głośniku serii GDN

    Nie ma jednoznacznej odpowiedzi na to: poniewaz nie ma jednej okreslonej obudowy łośnikopwej pasującej dla danego głosnika, po za tym parametry się zmieniły. Vas glosników 30-centymetrowych tonsila jest bardzo wielki, więc praktycznie w szerokim spectrum rożnej wielkosci obudowe strojone na daną długość tunelu moga się sprawdzić. Nikt Ci oczywiście...

    Audio Estradowe, Sceniczne, Studyjne   31 Sty 2006 14:01 Odpowiedzi: 6    Wyświetleń: 1095
  • Projekt Subika na RRR 30 GD-2 - prośba o pomoc

    Witam, Mam w głowie pewne projekty obudowy na subwooferka do domu. W sumie to jest jeden projekt z rożnymi "wariantami". Prosiłbym o doradzenie mi który wariant obudowy byłby najlepszy i pozbawiony wad konstrukcyjnych ( jeśli jakieś są ). Słowo wstępu. Kilka danych : Sześcian o boku 40 cm. Średnica głośnika to 25 cm. Objętość : ~64 litry ( bez głośnika...

    Audio Nagłośnienie Domowe   13 Sie 2006 19:10 Odpowiedzi: 1    Wyświetleń: 1517
  • Zaproponuj temat na ciekawy projekt

    trochę trudno doradzać jak nie sprecyzujesz swoich wymagań oczekiwać rozumie w miarę tanie i dające sie praktycznie później wykorzystać , zawierające część sprzętową i programową - praktycznie każdy układ z mikroprocesorem spełnia ten warunek , jak również dowolna przystawka sterowana z PC może napisz coś więcej będzie łatwiej coś doradzić

    Automatyka Przemysłowa   30 Paź 2006 15:05 Odpowiedzi: 15    Wyświetleń: 1792
  • Projekt zasilacza impulsowego dużej mocy.

    Witam, patrząc na załączony przez Ciebie schemat dochodzę do wniosku, iż własnego zdrowia i życia nie cenisz sobie wcale... Lepiej nie wdrażaj tego pomysłu w czyn, ponieważ wyrządzisz sobie krzywdę. Nie wolno elementów obwodu elektrycznego połączonego z siecią energetyczną łączyć z masą w sposób taki, jaki na schemacie przedstawiłeś. Nie możesz też...

    Początkujący Elektronicy   02 Maj 2007 09:35 Odpowiedzi: 4    Wyświetleń: 6090
  • Proszę o pomoc w projekcie obudowy otwartej - combo gitarowe

    Witam. Jestem studentem akustyki. W tym semestrze mam zaprojektować combo gitarowe. Nie mam doświadczenia w budowie urządzeń głośnikowych. Jak napisałem dopiero się uczę. Problem jest w tym, że tematyka projektowania obudów otwartych jest praktycznie nieporuszona w literaturze dotyczącej projektowania urządzeń głośnikowych. Przeszukuję internet od kilku...

    Audio Estradowe, Sceniczne, Studyjne   09 Cze 2007 19:51 Odpowiedzi: 0    Wyświetleń: 1091
  • Pomysł na realizacje projektu[GIS]

    Witam, mam do napisania projekt z Systemów Informacji Przestrzennej(SIP/GIS). Konkretniej - Infrastruktura geoinformatyczna jakiegos miasta. Nigdy wczesniej nie pisalem projektow z GIS i nie wiem jak takie cos powinno wygladac. Czy moze to byc np mapa - przegladana w przegladarce (jako strona internetowa) do wyszukiwania jakichs obiektow w miescie?...

    Programowanie   20 Sie 2007 07:17 Odpowiedzi: 1    Wyświetleń: 1218
  • Miernik zniekształceń nieliniowych (projekt)

    Witam, Mam pewne problemy z projektem miernika zniekształceń nieliniowych. Znalazłem przykładowy projekt w magazynie "Elektronika Praktyczna": Przerysowałem z tego schematu filtr pasmowo zaporowy do programu Pspice i dostosowałem wartości rezystorów tak, aby dobroć i tłumienie składowej podstawowej były optymalne. Mam jednak problemy z dostosowanie...

    Początkujący Elektronicy   03 Gru 2007 17:38 Odpowiedzi: 5    Wyświetleń: 1955
  • Projekt własnego zasilacza. Czy rozwiązanie jest dobre?

    Nie ma tu panów tylko koledzy :) Fajnie byłoby, byś wrzucił schemat tego wzmacniacza, a jeśli nie to jest podstawowe pytanie, jakiego napięcia wzmacniacz potrzebuje ? 12V ? Powinno to być napisane na schemacie :) Czemu pytam ? Otóż zachodzi w przybliżeniu warunek: Napięcie z transformatora - Spadek napięcia na diodach w mostku x 1,41 = napięcie na wyjściu....

    Początkujący Elektronicy   03 Lip 2008 14:47 Odpowiedzi: 31    Wyświetleń: 2424
  • Projekt kolumny kina domowego oceńcie.

    1. Możliwe, ale raczej mało praktyczne z uwagi na mniejszą ilość basu. 2. Tak, choć zaleca się również przesunięcie głośników z osi kolumny, by rozproszyć odbicia od przedniej ścianki. 3. Jeśli to ma być d'Appolito, to na jednym nieparzystego rzędu czyli praktycznie 6 lub 18 dB/okt. 4. Nie, bo za otworem musi pozostać odległość większa od średnicy otworu....

    Audio Nagłośnienie Domowe   13 Sie 2008 14:35 Odpowiedzi: 6    Wyświetleń: 4152
  • Sprzedam - wzmacniacz 2x300W MOSFET - projekt z PE

    Zapewne znany, projekt z Praktycznego Elektronika, wzmacniacz MOSFET 300W. Zbudowane 2 płytki co daje wzmacniacz stereo (lub mono, jeśli je zmostkujemy). Płytki delikatnie przerobione w stosunku do projektu z PE - usunięte kondensatory filtrujące, dzięki czemu można to sensownie upakować w obudowie, tak jak robi się to we wzmacniaczach estradowych....

    Ogłoszenia Elektronika   06 Maj 2009 18:02 Odpowiedzi: 0    Wyświetleń: 1322
  • Projekt dla dzieciaków z profesora ciekawskiego

    Witam, Proszę o propozycje projektu z elekroniki albo pokrewne typu proste(codzienne) urządzenia w ciekawym wykorzystaniu. Musze wykonać jakiś projekt dla dzieci, żeby zobaczyły ze elektronika jest fajna (dzieci czyli szkoła podstawowa). Projektem może być praktycznie wszystko, co wymaga trochę wysiłku w zrobieniu i do tego jakoś wygląda:P np 2 kartki,...

    Początkujący Elektronicy   13 Cze 2009 17:42 Odpowiedzi: 8    Wyświetleń: 1127
  • Projekt zdalnie sterowany przez wi-fi / internet

    UP-date foto aktualne: Witam. Zaczynając swój projekt robota początkowo RC, a potem sterowanym przez komputer chciałem się dowiedzieć o niektórych gotowych rozwiązaniach technicznych, które jeszcze nie są mi znane. Projekt zakłada użycia gotowego podwozia z Quad-a dla dzieci z silnikiem spalinowym i zawieszeniem (przykładowy quad: ) Następnym krokiem...

    Robotyka Modelarstwo i CNC   30 Wrz 2009 23:12 Odpowiedzi: 19    Wyświetleń: 4744
  • Kto używa PROGATA (Praktyczny Elektronik 4/99)?

    Witam Zbudowałem progata wraz z proponowanymi ulepszeniami (eeprom i zasilanie). dodałem kontrolki zasilania , zasilania procesora i napięcia programującego. Całość zmontowałem na dwustronnej płytce własnego projektu (jeśli kogoś interesuje mogę udostępnić) i zamknąłem w obudowie. Czy kolega Tronic rozwija nadal oprogramowanie ? ostatnia znaleziona...

    Mikrokontrolery   28 Sty 2010 20:25 Odpowiedzi: 32    Wyświetleń: 6319
  • [GCC][Eclipse]Dodawanie plików do projektu.

    To czy wystarczy wrzucić do katalogu czy trzeba dodawać wpisy do makefila jest uzależnione od tego jak makefile jest napisany (się okazuje). Mam kilka projektów pod eclipse z różnych źródeł i w tym projekcie z freertos samo wrzucenie nie działa a w innym projekcie folder gdzie są biblioteki do STM jest cały kompilowany chociaż faktycznie w programie...

    Mikrokontrolery   02 Gru 2009 08:50 Odpowiedzi: 7    Wyświetleń: 9456
  • Sfera 3D Led - pomysł projektu, kilka pytań

    Witam! Zainspirowany tym pomysłem: postanowiłem spróbować swoich sił w elektronice i zbudować coś podobnego. Chciałbym zbudować okrąg, który po wprawieniu w ruch robi wrażenie sfery Ziemi, niestety nie mam praktycznie żadnego doświadczenia w programowaniu mikroporcesorów ale projekt wydaje się być dosyć mało skomplikowany, lecz mam kilka pytań jakbyście...

    Początkujący Naprawy   05 Sty 2010 21:22 Odpowiedzi: 1    Wyświetleń: 1805
  • Projekt watomierza cęgowego (Pytanie)

    Witam, Chciałbym się dowiedzieć czy ktoś może zna jakiś projekt watomierza cęgowego? Jak do tej pory udało mi się odnaleźć kilka projektów opartych na układzie ADE77xx jednak każdy z nich wykorzystuje bocznik albo transformator co nie jest do końca praktycznym rozwiązaniem. W specyfikacji w/w układu jest podane, że jako sondy można użyć cewki Rogowskiego...

    Projektowanie Układów   13 Sty 2010 08:35 Odpowiedzi: 1    Wyświetleń: 1003
  • [cadstar] Łączenie płytek w jednym projekcie

    Witam. Do takich zadań, jak mechaniczne dopasowanie płytek służy Board Modeler, w którym może kolega bezpośrednio jednym kliknięciem przenieść projekt płytki, następnie drugiej, spasować je ze sobą ( poprzez modyfikacje kształtu, przesunięcie elementów za wysokich, które będą kolidować z elementami na drugiej płytce, i tak zmodyfikowaną płytkę szybko...

    Programy EDA   16 Sie 2010 08:43 Odpowiedzi: 1    Wyświetleń: 3455
  • Czy potrzebny jest projekt na gniazdo- w firmie

    Witam ponownie. Jeżeli chodzi o projekt powykonawczy to to zależy tylko od tego czy użytkownik, administrator czy jak kto woli właściciel danego obiektu chce mieć dokumentację uaktualnioną. Bo tzw dupochron w postaci protokołu już ma. A projektant jeżeli przyjdzie robić projekt powykonawczy i z obliczeń wyjdzie mu inaczej projektu nie robi( i ma czyste...

    Elektryka Dla Każdego   16 Sty 2011 16:31 Odpowiedzi: 25    Wyświetleń: 3901
  • Projekt instalacji oświetleniowej.

    Witam. Planowałem zrobić projekt instalacji mieszkaniowej lecz wolałbym coś może bardziej skomplikowanego jak może oświetlenie uliczne lub jakiegoś zakładu pracy. Co do poziomu trudności i zaawansowania pracy odnośnie pracy dyplomowej to radził bym koledze zachować pewien umiar i podejść do tego z dystansem. Projektowanie takowych instalacji w kwestii...

    Elektryka Światło i Oświetlenie   19 Kwi 2011 19:26 Odpowiedzi: 4    Wyświetleń: 3907
  • Głosnik Plazmowy mojego projektu

    To był mój pierwszy poważny projekt więc kupiłem ten kit w celach nauki, doświadczenia na próbach i błędach. Tak projekt jest oparty na kicie, ale jest niestety trochę źle zaprojektowany . Kit AVT kupiłem ze względu na części, ale teraz tego żałuje bo wyszło mi to nawet taniej jeśli bym osobno kupił sam układ scalony i tranzystor, a resztę uzyskać z...

    DIY Poczekalnia   30 Maj 2011 15:28 Odpowiedzi: 7    Wyświetleń: 7708
  • Projekt instalacji elektrycznej domu jednorodzinnego. złącze i rozdzielnica.

    Witam szanownych fachowców. Mam takie pytanie (chodzi mi o praktyczną odpowiedź ) odnośnie projektowania zasilania budynku mieszkalnego od razu podkreślam że projekt robię sam dla siebie i korzystam z innego gotowego projektu którego chcę trochę przerobić. Mianowicie chodzi mi o zabezpieczenie za licznikowe, ale zacznę od początku (zasilania obiektu)...

    Elektryka Instalacje i Sieci   07 Sty 2012 18:38 Odpowiedzi: 18    Wyświetleń: 20196
  • [Zlecę] [AVR] Wykonanie 5 kompletnych prototypów (Projekt PCB + SMD + Test)

    Witam Zlecę wykonanie 5 gotowych prototypów wg załączonego schematu. umowy o zachowaniu poufności . Są to wytyczne, które w żaden sposób nie komplikują wykonania układów ale niestety zdradziłyby przeznaczenie. Mam nadzieję, że projekt nie skończy życia na tych 5 prototypach :) Co do programowania, na razie chciałbym spróbować własnych sił - gdyby jednak...

    Ogłoszenia Elektronika   06 Sty 2012 15:13 Odpowiedzi: 5    Wyświetleń: 2282
  • Projekt przetwornicy na TOP204

    Witam, próbuję swych sił w technice impulsowej. Myślę, że przyszedł czas na budowę małego flybacka. W związku z tym, że jest to początek, chciałbym zrobić eksperyment z prostym układem TOP204. Założenia: -separacja galwaniczna, Uwe=230V AC -wyjście 12V ok. 3.5A Znalazłem w elektronice praktycznej artykuł: , lekko zmodyfikuję projekt. Dysponuję dwoma...

    Układy Zasilające   23 Sty 2012 23:55 Odpowiedzi: 4    Wyświetleń: 2637
  • Projekt małego układu nadążnego za słońcem (solar tracker)

    Witam szanownych forumowiczów. Mam prośbę. Chcę wykonać własny solar tracker, niewielki poruszający małym panelem max 3kg wagi. Poszukuję jakiegoś dobrego projektu chodzi mi o sam układ elektroniczny, czyli schemat z wykazem elementów oraz schemat płytki drukowanej. Szukałem i na forum i u wuja googl'a lecz ciekawe projekty są głownie na angielskich...

    Automatyka Przemysłowa   02 Maj 2012 10:18 Odpowiedzi: 2    Wyświetleń: 6276
  • Sterbox - w projekcie Inteligentnego Mieszkania

    Witam. Od pewnego czasu bawię się Sterbox-em i sporo czytam na jego temat. W większości osób chcących coś nim sterować pisze o ilości wyjść czy wejść. Nikt nie wspomina o tym, jakie możliwości daje Sterbox, aby sterować tymi wyjściami czy wejściami. Ja przeznaczyłem go głównie do sterowania akwarium i piecem. A sam projekt miał zawierać opcje włączenie...

    Smart Home IoT   21 Lip 2012 09:13 Odpowiedzi: 11    Wyświetleń: 12073
  • Choinka elektroniczna projekt - wydruk rzutu płaskiego

    Witam, mam do was wielką prośbę wiem, że będzie ona trochę nie typowa. Robię choinkę elektroniczną dla dziadka i babci na święta :) Jestem uczniem technikum więc jeszcze nie wszystko ogarniam od strony technicznej a już tym bardziej wizualnej :D Otóż ma to być płaszcz dla tejże choinki, mam już przygotowaną (z gazety Praktyczny Elektronik ) formę podstawową...

    Grafika 2D/3D   21 Gru 2012 13:56 Odpowiedzi: 2    Wyświetleń: 2694
  • Projekt "szkolnej karty" ,school card

    Do takiego projektu mozesz skorzystac z Gimpa, ale malo kto ci pomoze. Po mimo ze jest darmowy, jest malo popularny poprzez swoja autorska konstukcje layoutu - jest nie przyjazna i zasadniczo rozni sie od pozostalych programow (trzeba sie do tego przyzwyczaic). Taka karte np w Corel Photoimpact mozna wykonac w 30 minut. Program posiada wszystkie potrzebne...

    Grafika 2D/3D   21 Lis 2013 11:47 Odpowiedzi: 5    Wyświetleń: 3963
  • Projekt maszyny od podstaw - Elektryka mojej maszynki od podstaw+zrobinie szafy

    Witam wszystkich. Chyba w dobrym dziale umieściłem swój post. Otóż w związku z moją pracą dyplomową muszę wykonać elektrykę w mojej maszynie. Od podstaw dobrać elementy i poskładać szafę sterowniczą. Maszyna bardzo prosta, więc i ta elektryka będzie prosta, lecz nigdy w praktyce nie robiłem tego od podstaw. Pracowałem przy szafach elektrycznych, ze...

    Elektryka Dla Każdego   28 Lut 2014 16:17 Odpowiedzi: 9    Wyświetleń: 3345
  • HVPP i HVSP - teoria praktyczna

    Witam. Zainteresował mnie modny ostatnio temat programowania metodą High Voltage. Wiemy że dzięki HVSP można programować układy 8-pinowe zaś te z większą ilością pinów programujemy za pomocą HVPP. Postanowiłem pogoogle'ować i poczytać o tym nieco, jednakże jedyne co znajduje w tym temacie to gotowe rozwiązania - a to mnie mniej interesuje. Chciałbym...

    Mikrokontrolery AVR   27 Maj 2014 13:56 Odpowiedzi: 5    Wyświetleń: 1179
  • Projekt układu napędowego automatycznie kierowanego pojazdu transportowego o mas

    Po pierwsze, projekt teoretyczny, czy praktyczny? Bo to są dwie różne sprawy. Czy koła przednie mają być sterowalne, czy całość sterowania ma spocząć na kołach tylnych? Technologia poruszania się po zadanej trajektorii może być różna. Ale na potrzeby takiego projektu mógłbyś zaadaptować technologię linefollower. Czyli poruszanie się po narysowanej linii....

    Robotyka Modelarstwo i CNC   28 Maj 2014 16:18 Odpowiedzi: 4    Wyświetleń: 1281
  • Projekt Aelith - niezależny napęd w każdym z kół modelu RC

    Oto dosyć ciekawy projekt modelarski pojazdu z niezależnym napędem w każdym kole. Autor (HammerFET) pokazuje w nim jak przerobić dostępny na rynku model z napędem na cztery koła w bardziej nowoczesną i inteligentną maszynę. Autor użył jako bazy projektu pojazdu Schumacher Mi5 wykonanego w skali 1/10. Następnie usunął z niego cały mechanizm napędowy....

    DIY Zagranica   13 Lip 2014 10:51 Odpowiedzi: 0    Wyświetleń: 5748
  • [Zlecę] wykonanie PCB w/g istniejącego projektu płytki.

    Witam. Mam projekt płytki do wydruku. Jako, że nie posiadam drukarki laserowej ani wprawy w tej dziedzinie zlecę wykonanie pcb jednostronnej 1 szt. Chodzi o przeniesienie projektu na płytkę i wytrawienie bez wiercenia otworów, bo i tak praktycznie wszystkie elementy SMD. Projekt w załączniku. Propozycje proszę kierować na PW wraz z ceną usługi. Pozdrawiam.

    Projektowanie Bazar   22 Lip 2014 17:25 Odpowiedzi: 0    Wyświetleń: 861
  • [Praca] Praca dodatkowa - projekty, testy, artykuły, DIY - Modułowo

    Jesteśmy małą, początkującą firmą "Modułowo". Zajmujemy się projektowaniem oraz produkcją modułów elektronicznych i zestawów do samodzielnego montażu. Publikujemy materiały i artykuły związane z elektroniką na blogu i pozostałych stronach Modułowo. W związku z rozszerzaniem działalności, oferujemy pracę dodatkową dla osób, które hobbystycznie lub profesjonalnie...

    Ogłoszenia Elektronika   07 Sie 2014 16:48 Odpowiedzi: 0    Wyświetleń: 729
  • Trzeba się orientować: praktyczne metody aplikacji sensorów MEMS

    Abstrakt: Rozmieszczenie elementów na PCB i sposób ich montażu mogą w istotny sposób wpłynąć na działanie sensorów MEMS. W poniższej nocie aplikacyjnej omówione zostaną praktyczne aspekty montażu i rozmieszczenia sensorów na płytce drukowanej w celu maksymalizacji ich użyteczności w świecie rzeczywistym, w którym oprócz mierzonych przez sensor sygnałów...

    Artykuły   10 Paź 2014 11:37 Odpowiedzi: 0    Wyświetleń: 3627
  • [Praca] Zdalna praca dla elektronika - duży projekt

    Poszukuję elektroników/programistów systemów embedded i nie tylko, do pracy zdalnej lub na miejscu na terenie całej Polski (można się dogadać) w zespole, którego zadaniem będzie opracowanie i stworzenie pierwszych prototypów urządzeń elektronicznych dla medycyny i nie tylko. Przedsięwzięcie jest nowe, mamy duże wsparcie inwestorów. Liczy się tutaj pasja,...

    Projektowanie Bazar   31 Paź 2014 13:29 Odpowiedzi: 0    Wyświetleń: 1869
  • [Kupię]Szukam - Płyta CD Elektronika Praktyczna 10/2001

    Interesuje mnie katalog "Noty katalogowe do projektów" a szczególnie kod źródłowy (bas.) do projektu avt5038 ( Immobilizer z zabezpieczeniem przed porwaniem samochodu.) Pozdrawiam

    Inne Bazar   27 Gru 2014 22:59 Odpowiedzi: 4    Wyświetleń: 831
  • Projekt instalacji z rozdzielnią - prośba o opinię

    Dlaczego ostatni obwód gniazdowy bez RCD ? Oświetlenie łazienki również powinno być za RCD, zgodnie z PN-HD 60364-7-701 Czyli praktycznie dobrze by było do wszystkiego zrobić RCD? Bo z tym słyszałem/czytałem sprzeczne opinie - od takich gdzie RCD było tylko 2-3 (na krytyczne/łazienkowo-kuchenne obwody) aż do takich, gdzie były one praktycznie na co...

    Elektryka Instalacje i Sieci   30 Mar 2015 16:50 Odpowiedzi: 35    Wyświetleń: 4251
  • 8051, projekt w Ride7 - Przesył cyfr na port szeregowy zapisanych w ASCI

    Witam. Otóż, mam do zrealizowania projekt zaliczajacy laboratoria na uczelni (forma odrobienia nieobecnosci). Z racji zakończenia zajęć dydaktycznych, cały projekt mam zrobić w Ride7. Pewien pomysł mam, jednak nie wiem jak poradzić sobię z pierwszą częśćią zadania. Oto treść projektu, a pod nim to co do tej pory przyszło mi do głowy. "Program ma pobierac...

    Mikrokontrolery   18 Cze 2015 13:40 Odpowiedzi: 1    Wyświetleń: 591
  • Eagle - Umieszczanie w projekcie not katalogowych w PDF

    Witam Mam pytanie, Jeśli w EAGLE tworzę katalog z projektem, w którym umieszczam pliki z dokumentacją (schemat, PCB) i chciałbym dodatkowo umieścić w tym projekcie katalog z notami katalogowymi elementów użytych w projekcie, które są w PDF, to jak zrobić ich import z neta do projektu. Mimo wielu prób nie potrafię skopiować not w PDF do konkretnego projektu....

    Projektowanie PCB   20 Paź 2015 12:21 Odpowiedzi: 11    Wyświetleń: 1125
  • Multidyscyplinarny projekt ? Dobrór urządzenia.

    Witam, Jestem nowym użytkownikiem tego forum i miałem problem ze znalezieniem odpowiedniego odłamu fromu-elektrody na mój przypadek. Więc jeżeli się pomyliłem to proszę o pokierowanie mnie. Wracając do mojego przypadku. Chciałbym rozwijać się w szerokim zakresie : elektronika, elektryka, programowanie. Wymyśliłem więc projekt, którego praktyczna realizacja...

    Projektowanie Układów   28 Paź 2015 18:12 Odpowiedzi: 6    Wyświetleń: 870
  • Solarny wzmacniacz audio - Projekt 2000

    Witam podsumowując temat - sprzęt już jest po modernizacji wszystko pięknie gra, choć były małe problemy z uruchomieniem ale finalnie wygląda i gra ładnie. Teraz przedstawię po kolei wszystkie etapy tej modernizacji, więc zaczynamy. Przed modernizacją sprzęt wewnątrz wyglądał strasznie, jakby się jakiś przewód przypadkowo urwał to szczerze powiedziawszy...

    DIY Akustyka   15 Lis 2015 15:52 Odpowiedzi: 24    Wyświetleń: 15177
  • Mozilla chce zamknąć projekt Firefox OS

    o WP nawet nie mówię, bo to jest dopiero dramat. Tizena praktycznie u nas nie ma. Z androida korzystam od wielu lat, spotkałam się z nim i w budżetowych modelach i w smart phonach premium i i niestety daleki jest i od moich oczekiwań. Ok na początku jest super. Ale po niecałym roku telefon zaczyna się mulić a po 1.5 roku praktycznie staje. Dlatego też...

    Newsy   15 Lut 2016 22:17 Odpowiedzi: 10    Wyświetleń: 1962
  • Projekt amatorskiego "monitoringu" w okół domu

    ogólnie ten "monitoring" chce go zrobić tak na próbę , chce zobaczyć jak to będzie wyglądać itp Jeżeli kolega ma stacjonarny komputer lub lepiej laptopa to może zrobić próbę najtaniej jak się da bo potrzeba tylko kamery internetowej z USB. Warto zabrać po kolei komputer w miejsca gdzie mają być zamontowane kamery i porobić testy jak to widać na ekranie...

    Monitoring Wizyjny CCTV   15 Mar 2016 22:02 Odpowiedzi: 11    Wyświetleń: 1353
  • [Praca] Projekt AngularJS / Ionic

    AngularJS / Ionic Poszukujemy developera AngularJS / Ionic (z naciskiem na angulara) do projektu stworzenia aplikacji webowej / mobilnej dla jednego z naszych klientów. Ciekawy projekt dla lubiących wyzwania i chcących nauczyć się czegoś nowego :) Czas trwania projektu: 3-5 miesięcy. Specyfikacja: pełna, każdy feature szczegółowo opisany, łącznie z...

    Projektowanie Bazar   18 Maj 2016 13:46 Odpowiedzi: 0    Wyświetleń: 1353
  • Projekt ogrzewania podłogowego, potrzebna porada

    Witam. Potrzebuję pomocy przy rozplanowaniu ogrzewania podłogowego. Jest projekt który nie ma nic wspólnego z rzeczywistością. Ściany, pomieszczenia wszystko jest zupełnie inaczej i w innym miejscu niż być powinno. Projek wstępnie zakładał podpiwniczenie 1/3 budynku. Aktualnie jest cały z czego ok 60m2 jest ogrzewane podłogówką. Parter praktycznie w...

    Systemy Grzewcze Użytkowy   30 Lip 2016 06:30 Odpowiedzi: 10    Wyświetleń: 3750
  • Klony Totem Mite - czy można lepiej za podobną kwotę? Konkurencyjny projekt.

    Na wstępie podziękowania dla Elektryku5 za uwagi merytoryczne dotyczące zwrotnicy. Popularnością cieszą się klony Totem Mite oparte na przetwornikach firmy Tonsil. Tutaj widzę główna kontrowersję tej konstrukcji. Tonsilowska GDWK 10/80 towarzyszyła mi przez lata w zestawach Maestro i generalnie nie chciałbym wracać to tego szeleszczącego jednostajnego...

    DIY Akustyka   05 Mar 2020 20:03 Odpowiedzi: 53    Wyświetleń: 32766
  • - Projekt quadrocoptera do aerofotografii i FPV

    W przypadku takiego projektu skomplikowałbym jednak układ zasilania. Do zasilania kontrolera lotu i czujników osobny akumulator. Nie musi być duży. Spokojnie powinien starczyć pakiet 3S 800 mAh. Silnik zasilone z pakietu napędowego, przez manager zasilania. Spokojnie. To może być zwykły rozdzielacz elektryczny: + oraz -, z wyprowadzeniami do podłączenia...

    Robotyka Modelarstwo i CNC   15 Gru 2016 20:46 Odpowiedzi: 4    Wyświetleń: 1410