REKLAMA

programowanie spartan

Znaleziono około 142 wyników dla: programowanie spartan
  • Programowanie pamięci XCFxxS przez JTAG

    Witam. Mam do rozwiązania następujący problem: mam na płytce- AVR ATmega128 oraz pamięć Flash XCF01S programującą bitstreamem FPGA Spartan3. Sa one połączone łańcuchem JTAG. Normalnie aby przeprogramować Flasha wpinam w łańcuch(zamykając go) na goldpiny ISP JTAG i programuje pamięć iMPACTem. Chodzi mi o zmodyfikowanie układu, mianowicie o to aby móc...

    Programowalne układy logiczne   30 Maj 2007 14:21 Odpowiedzi: 2    Wyświetleń: 1514
  • Programowanie pamięci Xilinx XCFxxS przez JTAG

    Witam. Mam do rozwiązania następujący problem: mam na płytce- AVR ATmega128 oraz pamięć Flash XCF01S programującą bitstreamem FPGA Spartan3. Sa one połączone łańcuchem JTAG. Normalnie aby przeprogramować Flasha wpinam w łańcuch(zamykając go) na goldpiny ISP JTAG i programuje pamięć iMPACTem. Chodzi mi o zmodyfikowanie układu, mianowicie o to aby móc...

    Mikrokontrolery   30 Maj 2007 14:11 Odpowiedzi: 4    Wyświetleń: 1860
  • REKLAMA
  • Starter Kit Spartan-3AN, ładowanie programu do pamięci

    Przez JTAG'a możesz zaprogramować wszystkie pamięci używane do konfiguracji FPGA. Zworkami przełączasz pamięć, która jest aktualnie podłączona do wejść konfiguracyjnych FPGA i wtedy możesz ją dowolnie programować.

    Programowalne układy logiczne   17 Gru 2009 03:58 Odpowiedzi: 2    Wyświetleń: 1763
  • Padniety port LPT, spartan

    Spróbuję z tym pull upem, dzięki za podpowiedź (prąd będzie chyba wystarczający bo kabel ma bufory). To ISE ma dziwne sterowniki do kabli, na innej płycie miałem tak że port sprawny, wszystko sie programuje (mikrokontrolery, wyświetlacze) ale spartan nie... Na karcie rozszerzającej też programowałem mikrokotrolery, ale spartana nie :) W sumie to już...

    Komputery Hardware   16 Mar 2008 15:15 Odpowiedzi: 4    Wyświetleń: 864
  • Spartan3 starter kit - dane do pamięci

    Jako, że to mój pierwszy post chcę się przywitać z użytkownikami forum. Mam następujący problem, z którym nie mogę sobie poradzić. Otóż pracuję na płytce startowej ze spartanem3 (Spartan3 DSP starter kit). Chciałbym wypełnić pamięć danymi, które są mi potrzebne do sterowania układem podczas jego pracy. Czy można to zrobić na etapie programowania układu?...

    Programowalne układy logiczne   10 Cze 2008 13:54 Odpowiedzi: 1    Wyświetleń: 1182
  • REKLAMA
  • Spartan-6 - Synteza kodu VHDL na układ FPGA - wykorzystane slice'y

    Witam, Korzystam ze środowiska ISE WebPAck (wersja P.28xd) do programowania układu FPGA. Po syntezie kodu VHDL zajmuje on zasoby logiczne rozmieszczone w różnych slice 'ach i nie wykorzystuje w całości większości pojedynczych slice 'ów. Czy jest jakiś sposób aby "zmusić" proces syntezy do pełnego wykorzystania jak największej ilości slice 'ów układu...

    Programowalne układy logiczne   30 Lis 2014 18:56 Odpowiedzi: 2    Wyświetleń: 2322
  • [Sprzedam]Nexys 3 Spartan-6 FPGA Board

    Witam, tak jak w tytule wiadomości sprzedam zestaw Nexys™3 Spartan-6 FPGA Board. Posłużył mi on jako moduł do skonstruowania kilku konstrukcji, między innymi oscyloskopu oraz analizatora stanów logicznych. Jest to całkiem rozsądna propozycja dla osób, które planują nauczyć się jak w praktyce działają układy FPGA, a dostępność sporej ilości peryferiów...

    Projektowanie Bazar   26 Kwi 2015 20:07 Odpowiedzi: 0    Wyświetleń: 684
  • [Sprzedam] Nexys 3 Spartan-6 FPGA Board

    Witam, tak jak w tytule wiadomości sprzedam zestaw Nexys™3 Spartan-6 FPGA Board. Posłużył mi on jako moduł do skonstruowania kilku konstrukcji, między innymi oscyloskopu oraz analizatora stanów logicznych. Jest to całkiem rozsądna propozycja dla osób, które planują nauczyć się jak w praktyce działają układy FPGA, a dostępność sporej ilości peryferiów...

    Projektowanie Bazar   19 Paź 2015 18:54 Odpowiedzi: 0    Wyświetleń: 1077
  • Spartan-6 Atlys XC6SLX45 - Prosty układ sekwencyjny - licznik impulsów zegara

    Witam. Korzystam z układu programowalnego Atlys XC6SLX45 CSG324C. Mam problem z implementacją układu, który co takt zegara inkrementuje zawartość elementu pamiętającego i wysyła ją na port wyjścia. Podczas symulacji w programie ModelSim (ze środowiska ISE Webpack) otrzymuje prawidłowe wyniki, ale po implementacji w układzie Atlys, na jego diodach pojawiają...

    Programowalne układy logiczne   10 Wrz 2014 16:02 Odpowiedzi: 4    Wyświetleń: 1785
  • XILINX SPARTAN-6, jak zaprogramować

    znajomy elektronik mi powiedział że ten układ jest nie programowany. Więc to "elektronik" a nie elektronik. Musi sie dokształcić. Myśli, że wszystko co ma USB i napis "FTDI" nie jest programowane. Sprawdź notę katalogową układu. W przeciwieństwie do VNC-1, kupując VNC-2 nie robi on cic. Trzeba wgrac soft ze strony producenta lub swój aby zadziałał....

    Programowalne układy logiczne   26 Paź 2018 15:43 Odpowiedzi: 15    Wyświetleń: 1098
  • Wybór płytki startowej Spartan-3E

    co do samych płytek to obie są fajne, ale obie mają jeden wielki minus, praktycznie nie mają portu rozszerzeń przez który można łatwo wprowadzić dane (magistrale danych), owszem obie płytki mają port Hirose FX2 ale nie dostaniesz do niego drugiej wtyczki w Polsce (w Europie lepiej ale ceny są zaporowe) żeby przylutować sobie na jakiejś plytce PCB, więc...

    Programowalne układy logiczne   11 Lis 2007 22:11 Odpowiedzi: 12    Wyświetleń: 1314
  • [Zlecę] Programowanie Flash Rom PC48F4400P0VB0E

    Zlece programowanie Flash Rom PC48F4400P0VB0E , na poczatek okolo 50 szt. Jest to pamiec Intel P30,64-Ball Easy BGA, iMPACT BPI Flash Programming Support Spartan-6 lub Virtex-5 lub 6 Mozliwa stala wspolpraca . Prosze o oferty na e-mail : 1mirko(at)op.pl[/quote]

    Ogłoszenia Elektronika   03 Kwi 2013 10:26 Odpowiedzi: 0    Wyświetleń: 813
  • [sprzedam] spartan-3e zestaw startwowy

    Witam, Mam do sprzedania kompletny zestaw startowy FPGA na SPARTAN'ie 3E Xylinxs'a. Płyta nie była ani razu programowana. Układ jest zaprogramowany oryginalnym demem Xylinx'a. Gratis dorzucam dwa złącza specjalnie zakupione do tego zestawu. Cena 500zl Tu nie jest Allegro bis! :arrow: p. 001 Następne będzie ostrzeżenie! Wpis moderacyjny dotyczy wszystkich...

    Ogłoszenia Elektronika   02 Sie 2010 21:58 Odpowiedzi: 0    Wyświetleń: 758
  • [Sprzedam] XILINX SPARTAN 3e 500K Starter

    Witam Na sprzedaż mam płytkę prototypową z układem spartan 3e 500.000 Bramek. dokładnie ta płytka : Mało używany (kilkanaście razy). Na LCD nadal jest folia. Co na płytce : -FPGA: Xilinx XC3S500E Spartan-3E FPGA (up to 232 user-I/O pins, 320-pin FBGA package, over 10,000 logic cells) CPLD: Na płytce są 2 układy z serii coolrunner -Xilinx 64-macrocell...

    Ogłoszenia Elektronika   25 Lis 2013 11:47 Odpowiedzi: 0    Wyświetleń: 1278
  • REKLAMA
  • [Sprzedam] XILINX SPARTAN 3e 500K Starter KIT

    Witam Na sprzedaż mam płytkę prototypową z układem spartan 3e 500.000 Bramek. dokładnie ta płytka : Mało używany (kilkanaście razy). Na LCD nadal jest folia. Co na płytce : -FPGA: Xilinx XC3S500E Spartan-3E FPGA (up to 232 user-I/O pins, 320-pin FBGA package, over 10,000 logic cells) CPLD: Na płytce są 2 układy z serii coolrunner -Xilinx 64-macrocell...

    Ogłoszenia Elektronika   12 Lis 2013 21:29 Odpowiedzi: 0    Wyświetleń: 1371
  • SPARTAN-3, ISE-symulator chodzi... uklad nie

    ten przypadek (bez resetu) sprawdzony i też nic, spróbuje jeszcze użyć innego (wolniejszego) GCLK tzn. użyje tam jakiegoś sygnału, którym będę sterował ręcznie (może zasilanie nie wyrabia) Dodano po 21 hmmm... cos mi tu nie gra: dioda jest aktywna 1 i w 5 przypadku czaly czas nie pali... chyba paliła by kiedy uCDA jest 1 gasła jak 0 Dodano po 3 Niestety.....

    Programowalne układy logiczne   21 Lut 2008 09:40 Odpowiedzi: 8    Wyświetleń: 2612
  • Problem programator Xilinx Parallel cable III a Spartan-2

    Miałem podobny problem u siebie. Problem był w tym, że układy te mają inne napięcia przy programowaniu: Startan 2.5V, a XCF 3.3V. Tutaj masz dokumantację do tego [url=]Link. Może to coś pomoże

    Programowalne układy logiczne   08 Sty 2011 23:38 Odpowiedzi: 6    Wyświetleń: 2672
  • Spartan 2 xc2s200. Webpack wykrywa go jako xcv200 i nie mogę go odpalić.

    Czesc, Oba uklady maja ten sam JTAG ID Code 0x0061C093 wiec ISE odczytal to z ukladu i ustawil Ci domyslnie Virtexa 200 a nie Spartana 200. Mozesz to zmienic w GUI wybierajac Spartana w iMPACT'ie jak dojdziesz do fazy "Configure Device(iMPACT)". Jak Ci sie pojawi chip w JTAG chain to kliknij na nim prawym klawiszem i wybierz plik BSD dla Spartana 2....

    Programowalne układy logiczne   27 Gru 2006 04:09 Odpowiedzi: 2    Wyświetleń: 1452
  • potrzebna pomoc w obsłudze LCD spartan 3

    Nie będę ukrywał, że jestem mocno początkujący jeżeli chodzi o układy FPGA jak i wogóle o programowanie. Czytałem już gdzieś że takie coś jak ja chcę wykonać to właśnie mam stworzyć maszynę stanów. Dokładnie to chodzi mi o stworzenie menu wyboru. Ten program który wkleiłem rozumiem całkowicie. Ale z tego co mi tu radzicie to muszę się wczytać dokładnie...

    Programowalne układy logiczne   10 Lut 2011 22:15 Odpowiedzi: 6    Wyświetleń: 1583
  • Spartixed - płytka rozwojowa z Spartan 6 do nauki Verilog/VHDL (Kickstarter)

    Vikas Shukla rozpoczął, za pośrednictwem serwisu Kickstarter, gromadzenie funduszy na realizację projektu płyty rozwojowej o nazwie Spartixed. Spartixed służyć ma jako element platformy edukacyjnej do nauki języków Verilog i VHDL. Sercem płyty jest układ Spartan 6 firmy Xilinx. Spartixed umożliwia programowanie z użyciem ISE Design Suite firmy Xilinx....

    Newsy   30 Gru 2015 16:38 Odpowiedzi: 0    Wyświetleń: 2433
  • Altium Livedesign problem z programowaniem

    Witam was kupiłem zestaw LiveDesign na pokładzie mam spartana3, mam problem z jego zaprogramowaniem. Wybrałem ISE Xilinxa 6.1 program wykrywa mi spartana podaje jego typ, czyli komunikacja jest, jednak w trakcie przesyłania pliku (bit) układ się programuje i w trakcie weryfikacji wyskakuyje błęd weryfikacji. Nie wiem co mam robić, zmieniałem kompy i...

    Mikrokontrolery   06 Lis 2006 13:53 Odpowiedzi: 3    Wyświetleń: 1110
  • Programowanie płytki fpga via JTAG

    Jeżeli chodzi o konfiguracje FPGA sprawa nie jest taka prosta, zobacz tu www.xilinx.com/support/documentation/app... strona 12 powinna cie zainteresować, jest tu opis konfiguracji dla pojedynczego układu w łańcuchu JTAG. Nie zajmowałem się cyclon-ami ale powinno być podobnie do Spartana.

    Mikrokontrolery   18 Sty 2010 21:58 Odpowiedzi: 2    Wyświetleń: 1750
  • Xilinx - ZL4PRG programowanie przez LAN

    Niestety nie znalazłem pliku cableserver.exe Uzywam WebPack Ise 14.7 pod windowsem 8.1 Cos u mnie on źle działa. Nie mogę np utworzyc nowego projektu bo sie wyłącza. Tak samo nie mogędodać istniejącego pliku z kodem do otworzonego projektu bo też się wyłącza. Na starym gracie zainstalowałem pakiet Programming tools i pracuje wporządku pod windowsem...

    Programowalne układy logiczne   03 Maj 2016 09:06 Odpowiedzi: 4    Wyświetleń: 2700
  • fpga spartan3 xilinx problem

    Wyniki symulacji były by wiążące wyłącznie wtedy gdy symulacja uwzględnia WSZYSTKIE możliwe koincydencje i sekwencje sygnałów wejściowych(osobliwie te które nie wynikają z teoretycznej, poprawnej ścieżki działania). Dla każdego odrobine bardziej skomplikowanego układu jest to praktycznie nie do wykonania. Dlatego ważny jest sposób implementacji konstrukcji...

    Mikrokontrolery   24 Lis 2005 11:21 Odpowiedzi: 9    Wyświetleń: 1928
  • Programator Spartan3/CoolRunner2

    Witam Dla bezpieczeństaw chcę się upewnić i zapytać: czy standardowym programatorem ISP (np.jaki był opisany w książce BTC'07 / najtańszy z allegro 20zł ) składającego się zaledwie z 74hc125 można programować układy Spratan 3 oraz CoolRunner2 które mają ograniczenia sygn. wejsiowych na I/O do 3.3V? dzięki ,pozd

    Programowalne układy logiczne   04 Maj 2008 07:11 Odpowiedzi: 2    Wyświetleń: 1175
  • Programowanie ISP procesorów NXP (Philips) - ARM & 8051

    Witam, pod linkiem: dostępny jest Flash Magic Free Development Tool, tj. darmowy soft do programowania ISP (via UART) procesorów NXP (dawniej Philips). Lista obsługiwanych procesorów jest spora, wspierane są także układy serii LPC22xx oraz najnowsze LPC23xx. Niestety, nie dysponuję jak narazie płytką z procem, więc nie mam możliwości przetestowania...

    Mikrokontrolery   15 Lis 2006 07:34 Odpowiedzi: 3    Wyświetleń: 5198
  • Programowanie pamięci Flash metodą Indirect SPI.

    No, ale gdy pisali wyżej o Direct SPI : Solution 1 Direct Programming using iMPACT In this method, the programming cable is connected to the SPI interface directly, as shown in Figure 4-1 and 4-2 in the "Spartan-3 Configuration User Guide" (UG332): http://www.xilinx.com/support/docume... iMPACT 8.2i...

    Programowalne układy logiczne   26 Lis 2008 00:12 Odpowiedzi: 7    Wyświetleń: 4919
  • [S]Kit FPGA Xilinx Spartan3E XC3S250E/500E-PCBdo polutowania

    Do sprzedania mam PCB do polutowania (dwustronne, metalizowane, pocynowane, opis dwustronny). Na zdjęciach pokazany jest efekt końcowy oraz PCB. W skład zestawu wchodzi PCB. Po krótce co wchodzi w skład boardu: * Xilinx Spartan3E XC3S250E/500E-PQG208 * Atmel AT45DB041D - Flash do przechowywania bitstreamu * FT245RL - USB interface - Full Speed (max...

    Ogłoszenia Elektronika   30 Lis 2009 20:08 Odpowiedzi: 0    Wyświetleń: 1109
  • [S] FPGA Xilinx Spartan3E XC3S250E/500E - PCB do polutowania

    Do sprzedania mam PCB do polutowania (dwustronne, metalizowane, pocynowane, opis dwustronny). Na zdjęciach pokazany jest efekt końcowy oraz PCB. W skład zestawu wchodzi PCB. Po krótce co wchodzi w skład boardu: * Xilinx Spartan3E XC3S250E/500E-PQG208 * Atmel AT45DB041D - Flash do przechowywania bitstreamu * FT245R/FT232R - USB interface * 4x LED * 2x...

    Ogłoszenia Elektronika   15 Lis 2010 10:04 Odpowiedzi: 0    Wyświetleń: 1464
  • Digilent Spartan 3

    Witam. Chciałbym kupić płytkę Spartan 3 od Digilenta Moje pytanie brzmi: Czy w zestawie jest wszystko co potrzeba do rozpoczęcia programowania? Czy muszę np dokupić jakiś programator, albo coś? I jeszcze jedno: W moim laptopie nie ma LPT, dlatego planuję kupić ten kabel: To wystarczy? Czy może da się załatwić brak LPT w inny sposób?

    Programowalne układy logiczne   21 Kwi 2009 16:14 Odpowiedzi: 9    Wyświetleń: 2480
  • [Sprzedam] Starter Kit firmy Xilinx Spartan 3A-DSP 1800A.

    Witam, Mam do zaoferowania Starter Kit firmy Xilinx z procesorem Spartan 3A-DSP 1800A wraz z oryginalnym programatorem. Zestaw kupiłem 2 i pół roku temu - jest po gwarancji producenta, jednakże jest w pełni sprawny bez żadnych uszkodzeń ani defektów. Pamięć flash nie była nigdy programowana. Przewiduję dodać ciekawe gratisy. Cena wyjściowa 1400zł z...

    Ogłoszenia Elektronika   26 Mar 2012 20:40 Odpowiedzi: 0    Wyświetleń: 804
  • Spartan 3E/VHDL - Stacja meteorologiczna na FPGA

    Cześć 1. Czy wyjscie termometru i przetwornika mogę podłączyć do jednej szyny, którą podłączę do FPGA? W FPGA wtedy bym napisał moduł obsługi interfejsu 1-wire i pobrał dane z czujników. Tak możesz, każdy układ 1-Wire ma własny adres, 2. Czy jest sens stosować konwerter 1-Wire to I2C? Być może uprościło by to obsługę w FPGA? Konwerter 1-Wire to I2C...

    Programowalne układy logiczne   05 Lut 2013 15:08 Odpowiedzi: 10    Wyświetleń: 3375
  • Dobór modułu zapłonowego do Inca Spartan 50 2T - brak mocy

    pomóc w doborze modułu zapłonowego do Inca Spartan Popatrz jak wygląda kostka/złącze instalacji elektrycznej w module który posiadasz i kup moduł do 2T z takim samym złączem. Są moduły tuningowe(i jak kostka elektryczna nie pasowałaby to można zastosować przejściówkę - przewody z kompatybilnymi kostkami do instalacji i modułu), albo takie które się...

    Motocykle, Motorowery   10 Sie 2019 22:54 Odpowiedzi: 9    Wyświetleń: 741
  • Zamienniki pamięci dla Spartan 3 XC3S200 - dostępne opcje i ceny

    Spartan 3 to już bardzo stara rodzina FPGA. Może i do nauki dobra, ale użycie tego w jakimkolwiek nowym projekcie chyba jest bezcelowe - chociażby ze względu na problem dostępności elementów o którym mowa. Rozumiem, że konstruowanie własnej płytki do nauki to dodatkowa frajda, ale jeżeli zależy Ci na nauce samego programowania FPGA, to zalecałbym jednak...

    Programowalne układy logiczne   27 Kwi 2022 20:52 Odpowiedzi: 4    Wyświetleń: 714
  • Spartan 3 starter kit i LPT

    Jak masz starego kompa jakiegoś i sieć w domu to możesz przez niego programować - i to sieciowo. Ktoś o tym pisał na forum.

    Programowalne układy logiczne   14 Maj 2009 23:37 Odpowiedzi: 13    Wyświetleń: 3622
  • Spartan3E StarterKit-IMPACT-bit GHIGH się nie ustawia

    Witam. Od kilku dni posiadam board Spartan3E starter kit Rev D. Próbuję go zaprogramować za pomocą kabla USB i jak na razie bezskutecznie. Próbowałem na Impact'ach 9.2i, 9.2i+SP4 oraz 10.1+SP3. Tworzyłem proste designy na Microblaze w Xilinix Platform Studio, ale w końcu przerzuciłem się na "Initial Design for the Spartan-3E FPGA Starter Kit Board"...

    Programowalne układy logiczne   26 Lis 2008 12:40 Odpowiedzi: 6    Wyświetleń: 2120
  • Obsługa Wyświetlacza LED, płytka Spartan 3

    Po drugie, nie wiem czemu nie mogę połączyć sygnałów pochodzących z 2 komponentów: DZIELNIK i WYSWIETLACZ za pomocą polecenia port map. Problem jest tylko z połączeniem sygnału wyjściowego z DZIELNIKA (led_d) oraz sygnałem wejściowym WYSWIETLACZ (clk_w)?? Co jest tego przyczyną?? nie możesz podłączy piny komponetów w sposób bezpośredni poprzez ich...

    Programowalne układy logiczne   04 Maj 2009 17:21 Odpowiedzi: 2    Wyświetleń: 3412
  • [Spartan 3AN] DONE did not go high

    U mnie pamięć zaczęła programować się poprawnie po ustawieniu opcji następująco (na podstawie ug332 str. 50, 215, 218, 220): - zegar startowy na CCLK - zaznaczyć opcję Drive DONE pin high - configuration rate 33 lub 50 (pamiętaj żeby wygenerować nowy plik *.bit ;) ) - Ustawienie zwortek M[2..0] na "011" (M2 zwarte do masy, M1 i M0 wisi w powietrzu)...

    Programowalne układy logiczne   05 Mar 2010 20:06 Odpowiedzi: 11    Wyświetleń: 3828
  • Podłączenie zegara w Spartan 3

    Iss, w nie zamierzałem niczego negować ani podważać co napisałeś! Po prostu wyjaśniłem skąd było moje pytanie. Nie denerwuj się. Zresztą punkty za pomoc ofiarowałem Ci już dawno :) (chyba, że autor tego posta popełnił jakiś poważny błąd w projekcie, którego efektem jest tak niska częstotliwość). Raczej nie. Ten sam projekt zbudowałem na NB3 i tam działa....

    Programowalne układy logiczne   23 Kwi 2011 22:52 Odpowiedzi: 23    Wyświetleń: 3403
  • Spartan II Kit + Tina Lab + Xilinx Webpack

    Witam Ostatnio mam okazję pobawić się trochę programowaniem FPGA w środowisku Tina, programy wrzucam przez peryferia Tina Lab na płytkę ewaluacyjną ze Spartanem II. Dosyć ciekawie to wygląda, można sobie zbudować schematy układów, dołączając bloki VHDL i mikrokontrolery. Niestety nie znam się za bardzo na języku VHDL, i stąd moje pytanie: czy jest może...

    Programowalne układy logiczne   19 Sie 2011 14:01 Odpowiedzi: 3    Wyświetleń: 2093
  • Jak zaprogramować flash Spartan`a XC3S50AN

    Witam, W jaki sposób przy pomocy iMPACT zaprogramować układ XC3S50AN tak, aby po włączeniu zasilania pamiętał konfigurację. Bez problemu ładuję konfigurację do układu poprzez opcję 'Program FPGA Only', jednak po ponownym włączeniu zasilania układ znowu muszę go programować. Nie działa mi opcja 'Program Flash and FPGA'. Jednym słowem nie mogę zaprogramować...

    Programowalne układy logiczne   20 Lis 2011 11:16 Odpowiedzi: 4    Wyświetleń: 1626
  • FPGA Xilinx Spartan 3 zestaw uruchomieniowy

    Teraz mam poważny dylemat bo jeśli chodzi o Altere to ciekawym zestawem jest DE2 [url=]Link Jesli chodzi o parametry to Spartan 6 chyba jest "najmocniejszy" z wmienionych układów. Czy od strony programowania i ogolnego zrozumienia fpga jest jakas zasadnicza roznica pomiedzy tymi ukladami?

    Programowalne układy logiczne   01 Cze 2012 19:37 Odpowiedzi: 29    Wyświetleń: 9627
  • Zestaw uruchomieniowy FPGA - Spartan czy Freescale

    ja dodam od siebie, że LabView można spiąć z Keilem i jakoś z jego poziomu ARMa programować. Nie wiem jak to wygląda dokładnie, mogę zapytać kumpla na uczelni po weekendzie, on się tym bawi więcej ode mnie. Jak to wygląda przy FPGA -nie wiem.

    Programowalne układy logiczne   28 Paź 2012 12:22 Odpowiedzi: 14    Wyświetleń: 3165
  • [Sprzedam] Spartan 3e starter kit - używany z 10 razy.

    Witam Mam na sprzedaż tego kita - kupiony w kamami, używany tylko do projektów na studiach. Teraz leży i sie kurzy, pamięć od nowości nie programowana, jest defaultowa aplikacja. Dokładnie ten zestaw : Wszystko jak w komplecie, oryginalne pudełka, płyty, kable, zasilacz. Na lcd nadal jest folia. Płytka dalej śmierdzi nowością ;) Ew propozycje cenowe...

    Ogłoszenia Elektronika   04 Wrz 2013 12:42 Odpowiedzi: 0    Wyświetleń: 876
  • FPGA/spartan3s200 - Przyśpieszenie pracy FPGA - kontroler SDRAM

    Czy to może być powododem problemów z programowaniem? No raczej. To znaczy że nie przechodzi "fitting"*. Czyli wkładanie zaimplementowanego układu do konkretnej wersji układu FPGA ("obudowy"). Ale to jeszcze podczas kompilacji. Jak byś przewinął okno procesów to by było widać. A błąd fizycznego programowania wynika raczej z czegoś innego (w pewnym...

    Programowalne układy logiczne   27 Sty 2015 17:21 Odpowiedzi: 18    Wyświetleń: 2847
  • programowanie przez LPT NA PCMCIA

    Witam Mam dosyć częsty problem mianowicie nie posiadam w swoim laptopie LPT ,dlatego programować SPARTANA muszę z blaszaka - co trochę mi przeszkadza . Koszt programatora usb Jtag dla Xilinx jest dosyć spory ok 650 zł.Posiadam programator ZL4PRG (LPT , opisany w EP). Przejściówki z USB na LPT odpadają . Dlatego zastanawiam sie nad zakupem LPT NA PCMCIA....

    Programowalne układy logiczne   16 Maj 2008 02:07 Odpowiedzi: 1    Wyświetleń: 1488
  • Stacja robocza - 4000 zł (programowanie, prace biurowe)

    Cześć, chciałbym złożyć stację roboczą za około 4000 zł. Jeżeli widzicie możliwość niewielkiego osłabienia konfiguracji tak, aby obniżyć cenę komputera do 3500 zł, to bardzo proszę o sugestie. Jeśli uważacie, że komputer wychodzi zbyt gamingowy, to także proszę o stosowną uwagę - z reguły w nic nie gram. Poniżej zamieszczam opis zgodny z formatem proponowanym...

    Komputery Co kupić?   18 Kwi 2020 04:52 Odpowiedzi: 1    Wyświetleń: 210
  • Programowanie FPGA w trakcie startu urzadzenia...

    Wszystko czego potrzebujesz jest w notach katalogowych. Zajrzyj dodatkowo na stronę Xilinx'a za notami aplikacyjnymi. Ja swojego Spartana 3 ustawiłem w tryb Slave SPI i ładowałem po sprzętowym SPI bezpośrednio z wewnętrznej pamięci programu (X2S200 to 128KB danych). Nie wiem jak to jest w S2, ale w S3 trzeba pamiętać aby po przesłaniu danych wysłać...

    Programowalne układy logiczne   22 Sie 2007 11:16 Odpowiedzi: 1    Wyświetleń: 1131
  • Życzę udanego programowania w Nowym Roku i pytanie o ISE

    Quartusa nie mogę, mam zestaw ze Spartanem 3 z Propoxu, tego nie da się połączyć.

    Programowalne układy logiczne   04 Sty 2008 00:13 Odpowiedzi: 4    Wyświetleń: 1182
  • ZL10PLD od KAMAMI i problem z programowaniem

    Problem w jakiś dziwny sposób się sam rozwiązał. Kompletnie nie wiem czemu. Czasami trzeba z 2 razy kliknąć na program. Bo czasami jeszcze widać ów przesunięcie poprzez które już nie chce się konfigurować matryca. Niesamowicie dużo nerwów mi to zabrało, sprzętowo nic nie zmieniłem, poznałem tylko ISE nieco lepiej, a co się stało że zaczęło działać to...

    Programowalne układy logiczne   14 Wrz 2009 12:49 Odpowiedzi: 4    Wyświetleń: 2272
  • Początkujący DSP i FPGA - język programowania

    Obecnie najpopularniejsze są: VHDL oraz Verilog. Osobiscie polecam Ci VHDL i środowisko do pisania i symulacji firmy Xilinx, mają darmową wersję Ise Webpack która wystarczy dla początkujących. Warto dodać iż w symulacjach Post Fit lub Post map(rout) uwzględniane są czasy propagacji dla danych modelów chipów FPGA lub CPLD. Dla czego VHDL? Moim skromnym...

    DSP i Transmisja   05 Cze 2010 10:05 Odpowiedzi: 7    Wyświetleń: 4296
  • JTAG Parallel Cable III (DLC 5) problemy z programowaniem

    JTAG Parallel Cable III przy XC9536 nie ma problemu. Przy SPARTANIE 3 problem jest taki że programator odczytuje jak wezmę do ręki kabel (taśmę) łączący programator z płytką na której jest procesor i powyginam ten kabel w ręce i dotknę ręką płytki. Zaczynam, mieć tego dosyć. Taśma ma ok 20cm długości , więc to nie dużo. Niema na pewno żadnych przerw...

    Programowalne układy logiczne   18 Maj 2015 19:15 Odpowiedzi: 12    Wyświetleń: 3401
  • Gdzie znaleźć szkolenia z programowania Flash/EEPROM i lutowania SMD/BGA?

    Ale co wymagać od programatora kosztującego 5% wartości porządnego Dataman jest na Spartanie (jak dobrze kojarzę 250k LE) a TL866 na jakimś PICu (niby porzazany procesor, ale coś tam odczytałem). Lista znów tak uboga nie jest, ale w porównaniu do profesjonalnych urządzeń rzeczywiście wygląda słabo. Brak CPLD to duży problem. To jest zabawka dla domorosłych...

    Projektowanie i Tworzenie Po godzinach   28 Sty 2019 08:41 Odpowiedzi: 13    Wyświetleń: 1557
  • Zestaw komputerowy do programowania i gier: Gigabyte B560M DS3H V2, i3 10100F, RX 6600, 16GB RAM

    Możesz zmienić RAM na teoretycznie z niższej półki, ale z nieco lepszymi timingami: G.Skill Aegis 3200CL16 - 260zł Jeśli ten dysk Kingstona to NV1 to zamieniamy na Kioxię: Kioxia Exceria 1TB - 349zł Obudowa z 3 wentylatorami w zestawie: Zalman S2 TG - 199zł Chłodzenie na SilentiumPC Spartan 5 (starczy z dużym zapasem): Cooler Master MWE V2 500W Bronze...

    Komputery Co kupić?   16 Paź 2022 19:45 Odpowiedzi: 13    Wyświetleń: 348
  • Szukam osoby znajacej sie na programowaniu w Xilinx -projekt

    Witam potrzebuje zrobic projekt z FPGA. Srodowisko: ISE Xilinx, Impact, ModelSim Uklad: Spartan2 Temat: Zaprogramowac plytke aby przez port RS-232 wyswietlala na ekran dowolny staly napis. Termin: do 6 czerwca 2007 Wskazowki: prowadzacy pozwala zrobic sam schemat, projekt w Xilinx (bez potrzeby posiadania plytki) a nastepnie efekty dzialania projektu...

    Programowalne układy logiczne   22 Maj 2007 13:18 Odpowiedzi: 6    Wyświetleń: 1791
  • Układ CPLD Kamami programowanie przez USB

    Widzę, że historia lubi się powtarzać :) Kiedyś też walczyłem z USB i różnymi prowizorkami na FT2232 i takimi tam... powiem tyle - strata czasu i pieniędzy. Dajcie sobie spokój. Po pierwsze, za każdym razem trzeba generować jakieś SVFy nie wiadomo po co, pełno niepotrzebnej klikaniny.Trzeba było do tego ściągać jakieś dziwne programy jak Urjtag czy...

    Programowalne układy logiczne   04 Cze 2012 17:11 Odpowiedzi: 11    Wyświetleń: 4744
  • Programowanie Spartana-II przy pomocy JTAG - opis

    Czy ktoś mógłby mnie skierować albo pomóc w znalezieniu materiałów dotyczących programowania i testowania układu Spartan-II przy pomocy JTAG. Chodzi mi sam opis JTAG (oprócz stron Xilinxa) Będę wdzięczny za wszystkie propozycje. 8O :!: Pilne :!:

    Mikrokontrolery   22 Cze 2004 16:14 Odpowiedzi: 1    Wyświetleń: 954
  • Spartan-3AN nie chce się programować

    Udało się :) Tak jak podał smyku22. Zainstalowałem z ISE 10.1 wszystko po za WebPackiem ponieważ owy nie chciał się zainstalować. Potem 9.1 i nic. Dopiero przeczytałem to co smyku22 wrzucił i idąc za radą zainstalowałem WebPack 9.2. Uruchomiłem iMPACTa i od razu ruszyło bez żadnych błędów. Swoją drogą to trochę dziwne, że dają do tego spartana DVD z...

    Programowalne układy logiczne   19 Sty 2009 12:43 Odpowiedzi: 10    Wyświetleń: 1863
  • Impact: "can not find cable" programowanie spartan

    Kupiłem spartan 3 starer kit z digilent i mam nastepujący problem, po podlaczeniu kabla równoległego do komputera impact zgłasza błąd "can not find cable", po podlaczeniu do innego kompa spartan daje sie programować bez problemu... Przestawialem opcje w biosie ustawienia portu LPT, ale nic nie pomaga, na tym samym porcie lpt mogę programować np. MSP430,...

    Programowalne układy logiczne   02 Sty 2008 14:57 Odpowiedzi: 2    Wyświetleń: 4295
  • Stary Spartan XCS05 - jak wyprowadzić interfejs do programowania

    Witam, Jakiś czas temu kupiłem za grosze dwadzieścia układów XCS05 firmy Xilinx. Obecnie jestem na etapie projektowania płytki ewaluacyjnej pod ten układ. Czy ktoś z Was może miał styczność z tymi układami i wie, jak wyprowadzić interfejs do programowania tego układu? Programator, który posiadam to Platform Cable USB. Pozdrawiam.

    Programowalne układy logiczne   04 Wrz 2011 21:33 Odpowiedzi: 4    Wyświetleń: 1683
  • Xilinx Spartan 3A - Webpack ISE przestał programować FPGA

    Programuję układy Xilinx Spartan 3A w środowisku Webpack ISE v. 14.7 w Windows 10 64-bit. Używa programatora firmy Digilent (USB-JTAG Programming Cable). Przez kilka miesięcy od zainstalowania Win10 i Webpack ISE wszustko działało OK. Lecz nagle przy próbie programowania układu w Impact cały czas dostaję komunikat "Invalid device driver license" i......

    Programowalne układy logiczne   18 Lis 2016 07:33 Odpowiedzi: 6    Wyświetleń: 3144
  • Czy nowy układ Spartan xcs05 wymaga programowania po wymianie?

    Witam Mam urządzenie w którym uszkodzony jest ww. układ, czy po kupnie nowego układu trzeba go programować żeby urządzenie działało prawidłowo?

    Programowalne układy logiczne   10 Mar 2024 01:20 Odpowiedzi: 2    Wyświetleń: 198
  • digilent, spartan3, platform flash - jak programować

    Cześć, Kupiłem płytkę digilenta i w związku tym mam pytanie, jak na tej płytce zaprogramować pamięć flash do konfiguracji spartana ? Jak wygenerować dla impacta odpowiedni plik ? Gdzie w tym ise trzeba zaznaczyć opcję, żeby device bitcode było takie jak trzeba ? Dzięki za pomoc :/

    Programowalne układy logiczne   27 Gru 2007 21:23 Odpowiedzi: 0    Wyświetleń: 656
  • Algorytm programowania FPGA Spartan3

    DZIAŁA !!! :) Programowanie XC3S400 trwa niecałe 18 sekund na programatorze z 20MHz kwarcem i niecałe 30 sekund na programatorze z 12MHz kwarcem. Po zapisie do "Shift-DR" i "Shift-IR" ostatni bit ma być z TMS=1 a potem przejście do Run-test-idle. "Clock TCK for the length of the startup sequence." to 12* TDI=1 do Run-test-idle. Plik wysyłamy od początku...

    Programowalne układy logiczne   15 Lut 2009 14:25 Odpowiedzi: 18    Wyświetleń: 2666
  • poszukuję jak najwięcej układów syren alarmowych.

    Nie, trzeba będzie kilku takich modułów. Albo i więcej - jak do każdego sygnału będzie kilka przełączników, to ilu końcówek będziesz potrzebował na same przełączniki? Ale i bez tego mam wrażenie, że raczej ciężko będzie o taki uC, który obsłuży 30 sygnałów - do tego może by się nadał np. Spartan XC6SLX9-2TQG144C? Kamami.pl ma to w cenie 34zł (układ...

    Początkujący Elektronicy   30 Sie 2019 13:39 Odpowiedzi: 325    Wyświetleń: 10425
  • Dynamiczna rekonfiguracja FPGA, a praktyka

    Szkoda ze nie powiedzial z jakim optymalnym taktem zegara to działa, będąc na pokazie środowiska EDA Altium widziałem w praktyce jak to wiesza się przy programowaniu FPGA Spartan. Jednym słowem teoria nie zawsze przekłada się sprawnie na praktykę.

    Programowalne układy logiczne   06 Maj 2008 15:38 Odpowiedzi: 8    Wyświetleń: 2718
  • Vhdl Synteza

    Jest. Ja programuję tym Spartana 3, choć właściwie wolę mowić "konfiguruję", bo w sumie VHDL to nie programowanie :]

    Programowalne układy logiczne   20 Kwi 2006 14:26 Odpowiedzi: 8    Wyświetleń: 2676
  • Pamięć flash M28W640FCB, błędy danych przy zapisie?

    No hej! Dzięki za zainteresowanie... Więc, programuję kość Spartan 3 xc3s200. Co do kwestii kodu, to wyjaśnię może od początku. Minimoduł firmy Propox, nad którym walczę ma na pokładzie kość flash - wyżej wymienioną oraz kość ram - z której nie korzystam. Ram i flash połączone są ze sprartanem magistralą 16 bitową, z której 8 bitów jest wyprowadzone...

    Programowalne układy logiczne   29 Sty 2008 15:23 Odpowiedzi: 9    Wyświetleń: 1890
  • Program, rotary encoder, wyświetlanie na diodach.

    Witam, Od kilku dni staram sie napisac program, w ktorym zmiana polozenia rotary encodera jest wyswietalna na 8 diodach led. Korzystalem glownie z tego www.xilinx.com/products/boards/s3estarte... - pdfa ale niestety program nie dziala. Diody zachowuja sie jak chca (bardzo szybkie zmiany, zly kierunek zmian), a...

    Programowalne układy logiczne   02 Maj 2008 11:28 Odpowiedzi: 2    Wyświetleń: 1549
  • Płyta uruchomieniowa od Digilenta jako programator JTAG

    Ad1 Seria AN ma FLASH do przechowywania konfiguracji [url=]Link. Pierwszy akapit na drugiej stronie. Ad2 Przedstawiłem ogólnie, bo projektuję pierwszą w swoim życiu płytkę pod układ FPGA. W międzyczasie doczytałem, że należy ustawić sygnały M[2..0] na 011 aby układ uruchomił się z wewnętrznej pamięci. Ale nie kumam skąd weźmie zegar żeby sobie ją odczytał....

    Programowalne układy logiczne   02 Mar 2011 22:38 Odpowiedzi: 3    Wyświetleń: 1433
  • Failed to link the design - ISE na Windows 8

    Witam! Jestem studentem automatyki i robotyki - 2. rok. Na laboratorium z przedmiotu Systemy Mikroprocesorowe używamy programu firmy Xilinx ISE IDE do programowania płytek Spartan 6. Wszystkiego można się nauczyć, ale nie kiedy powstaje problem natury technicznej - "failed to link the design". Już tłumaczę. Mamy za zadanie zrealizować układ logiczny...

    Programowalne układy logiczne   19 Mar 2013 23:22 Odpowiedzi: 1    Wyświetleń: 1587
  • [FPGA] ISE WebPack i Embeded zasady licencji, częstotliwość

    Sytuacje mam taką: chce odpalic projekt na XC6SLX150 - czego potrzebuję? Jaki projekt? Wybierz narzędzia, które Ci odpowiadają do realizacji konkretnego zadania: ISE WebPACK - darmowe narzędzia do implementacji logiki, rozmieszczanie i analiza(PlanAhead), symulacja (Isim) i gotowe Xilinx'a IP Core'y (CoreGenerator, część z nich darmowa). Reszta narzędzi...

    Programowalne układy logiczne   24 Sie 2013 19:01 Odpowiedzi: 5    Wyświetleń: 3215
  • Kompedium wiedzy na temat CPLD/FPGA

    Witam!!! Na wstępie proszę abyście nic nie dopisywali żadnych odpowiedzi do tego tematu. Jak chcesz coś dodać od siebie to proszę o informacje na priva. Dokleję i poinformuje co kto dodał o ile ta informacja będzie coś wnosiła do tego kompendium. Chce wprowadzić taki zabieg aby czytelnicy nie wertowali tego posta co kto dodał. To ma ktoś otworzyć i...

    Programowalne układy logiczne   01 Lut 2016 15:02 Odpowiedzi: 2    Wyświetleń: 35242
  • Adapter HDMI -> MIPI DSI (wyświetlacze ze smartphone'ów)

    Chciałbym przedstawić projekt sterownika do wyświetlaczy LCD/OLED z interfejsem DSI na bazie FPGA Spartan-6 XC6SLX9. MIPI DSI to szybki szeregowy interfejs do przesyłania obrazu z GPU do wyświetlacza stosowany w większości smartfonów (Iphone4,5,6, Samsung Galaxy, etc.). Możliwości sterownika: - obsługa wyświetlaczy z 3/4-liniowym interfejsem DSI -...

    DIY Konstrukcje   23 Lis 2015 10:31 Odpowiedzi: 59    Wyświetleń: 54510
  • Development Kit dla studenta

    Witam wszystkich, Jako, że z VHDLem jestem już zaprzyjaźniony (studia) - mam zamiar sobie kupić zestaw uruchomieniowy, aby móc programować sobie w domu. Z początku byłem nastawiony na: Spartan-3E 500 Starter Kit (w Kamami.pl za 490 zł), ale wyczytałem, że port VGA (z którego mam zamiar korzystać) obsługuje tylko 8 kolorów (3 bitowy). Z drugiej strony...

    Programowalne układy logiczne   10 Lis 2008 17:36 Odpowiedzi: 10    Wyświetleń: 2812
  • Uruchamiam CPLD. Potrzebny programator? Kabel?

    Witam! Ja ma starter kit ze spartanem 3. Programuje się go przez zwykły kabel usb , ale na płytce jest "coś". Producent dołączył schemat całej płytki z wyjątkiem tego fragmentu odpowiadającego za programowanie. Trzeba sobie taki programator zrobić albo kupić. Pozdrawiam

    Programowalne układy logiczne   27 Gru 2007 12:39 Odpowiedzi: 4    Wyświetleń: 1423
  • Debugowanie kodu w FPGA/CPLD

    No niestety! Rozochociłem się na ten debuging, ale zapomniałem że na plytce nie wyprowadziłem pinów JTAG w spartan-ie ... JTAG-iem programuje tylko pamięć FLASH, z której spartan sciąga konfigurację po resecie. 2 diody bedą mi musiały wystarczyc :| swoją drogą korzystam z JTAG ICE dla AVR-ow i daje to niesamowity komfort pracy. Nawet 1000 ledów może...

    Programowalne układy logiczne   04 Cze 2006 17:49 Odpowiedzi: 3    Wyświetleń: 2158
  • FPGA, SRAM, 51. Połączenie i translacja poziomów. Ulepszenie układu.

    Hmmm.. na moje używanie FPGA tylko jako SRAM mija się z celem. Mało z tego wyciśniesz, a musisz w układzie zawrzeć dodatkowe układy do zasilania i programowania Spartana. Sprawę pogarsza fakt, że Spartan wymaga bodajże dwóch napięć zasilania. Do tego bufory konwertujące napięcia. W stronę 5V -> 3,3V daje się to zrobić na układach 74LVX125 (lub 74LVX244),...

    Programowalne układy logiczne   17 Lip 2006 22:42 Odpowiedzi: 3    Wyświetleń: 2132
  • Democracy - otwarta platforma na FPGA do przetwarzania audio dla Raspberry Pi

    Na portalu Democracy DEV wyposażone jest w szereg wejść i wyjść analogowych dedykowanych do sygnałów audio. W układzie zawarto także wejście i wyjście MIDI oraz dodano możliwość podłączenia przełączników nożnych oraz pedałów ekspresji do kontroli działania układu w czasie rzeczywistym podczas np. gry na instrumencie. Dla kogo dedykowany jest układ...

    Newsy   11 Gru 2016 12:54 Odpowiedzi: 1    Wyświetleń: 4047
  • Jaki zestaw Development Kit do nauki FPGA i VHDL?

    Witam wszystkich, którzy tu zajrzeli. Zainteresowałem się trochę układami FPGA i chciałbym się dowiedzieć czegoś więcej na ich temat (tak, tak, wiem o linkach i już je mam). Na początek może kilka słów o sobie... Z zawodu jestem informatykiem, ale z charakteru wykonywanej pracy, raczej nie przez duże "I". To znaczy zajmuję się komputerami i czasami...

    Programowalne układy logiczne   26 Cze 2008 20:04 Odpowiedzi: 39    Wyświetleń: 16610
  • Płytka rozwojowa Mercury 2 dla FPGA Xilinx Artix-7

    Chociaż obecnie spotykamy coraz więcej płytek rozwojowych z ukłądami FPGA, bardzo niewiele jest zaprojektowanych do umieszczenia na płytce stykowej. Te które wspierają taką możliwość są jednak zazwyczaj oparte na podstawowych układach FPGA, takich jak ICE40 od Lattice Semi czy QuickLogic EOS S3. Jeśli poszukujecie mocniejszej płytki z FPGA, która pasuje...

    Newsy   02 Paź 2020 14:44 Odpowiedzi: 2    Wyświetleń: 1017
  • Xilinx XC4005E (FPGA?) - z czym to sie je?

    Niestety, wspolczesna wersja ISE WebPack raczej nie programuje takich antycznych kostek jak XC4000. Chyba bedziesz musial sie postarac o ktoras z wczesniejszych wersji. n.p. 4.1 lub cos podobnie starego. ta kostka to przelom tysiacleci, a 6 lat w FPGA to cala wiecznosc. Nie jestem przekonany czy ma wielki sens inwestowanie czasu i energii w cos co juz...

    Mikrokontrolery   16 Maj 2006 13:49 Odpowiedzi: 13    Wyświetleń: 2541
  • Karta graficzna VGA DIY

    No teraz to tylko czekam na DIY jakiegoś CPU :) Ciekawi mnie też kiedy pojawią się na Allegro poradniki typu "Zrób to sam: GeForce 6600" :) Podziwiam autora tego "wynalazku". Dla mnie podstawy programowania to czarna magia a stworzenie własnej karty graficznej? :) Pozdrawiam Tak jak już co niektórzy tutaj napisali procesory opisane w VHDL istnieją...

    DIY Zagranica   20 Lut 2008 20:03 Odpowiedzi: 24    Wyświetleń: 19255
  • xilinx starter kt/development kit

    Spartan-3AN jest układem typu non-volatile (posiada nieulotna pamięć flash, dzięki której nie musimy programować go przy każdym rozruchu). Jak narazie to jedyna różnica, jaką udało mi się zauważyć. W dokumentacji Xilinx-a te układy występują koło siebie, jako równoważne. Nie róznią się wydajnościa, jak i liczbą wyprowadzeń. Z płytkami jest chyba podobnie,...

    Programowalne układy logiczne   04 Mar 2009 21:00 Odpowiedzi: 4    Wyświetleń: 1842
  • Programator HVPP/HVSP/ISP dla procesorów Atmel AVR

    Witam Mnie się udało sklecić coś takiego na ARMie Co prawda mój ze względu na to że nie używam AVRów większych jak 8 nóżek nie obsługuje programowania równoległego, ale ma dodatkowo kilka innych funkcji jak: TPI - do tych najmniejszych AVRów I2C - programowanie lub testowanie układów na tej magistrali JTAG - co prawda na razie przetestowany tylko z...

    DIY Konstrukcje   19 Lis 2020 09:27 Odpowiedzi: 48    Wyświetleń: 45415
  • Oferta pracy - konstruktor elektronik

    Firma PBP OPTEL (zapraszam na ) poszukuje: Inżyniera Elektronika na stanowisko konstruktora urządzeń elektronicznych wymagania: - wykształcenie wyższe ELEKTRONICZNE - znajomość hardware'u i software'u mikrokontrolerów z rodziny 8051, Xilinx, Spartan3, ARM etc ;) - mile widziana znajomość zagadnień programowania w języku vhdl - umiejętność posługiwania...

    Ogłoszenia Elektronika   30 Sty 2008 00:32 Odpowiedzi: 0    Wyświetleń: 1251
  • [VHDL] Jak zrobić multipleksację w czasie na matrycy diod?

    Witam, pytanie jak w temacie... Co do sytuacji: mamy dwukolorową matrycę diodową 8x8 ([url=]) i chcemy na niej zrealizować grę "w skoczka" - matryca to szachownica, na niej w każdym momencie 8 pionków czerwonych i 8 zielonych. Grę realizujemy na płytce uruchomieniowej Xilinxa SPARTAN3, programujemy w VHDL. Do matrycy jest zrobiony układ, który rozwiązuje...

    Programowalne układy logiczne   24 Sty 2011 01:10 Odpowiedzi: 5    Wyświetleń: 1652
  • [Praca] Poszukujemy konstruktora elektronika

    32- letnia firma, laboratorium badawczo - rozwojowe Optel Sp. z o.o. (optel.pl) z siedzibą we Wrocławiu, poszukuje:   Inżyniera Elektronika na stanowisko konstruktora specjalistycznych innowacyjnych urządzeń elektronicznych wymagania:   - wykształcenie wyższe ELEKTRONICZNE - znajomość hardware'u i software'u mikrokontrolerów z rodziny Xilinx, Spartan3,...

    Ogłoszenia Elektronika   03 Kwi 2022 19:11 Odpowiedzi: 1    Wyświetleń: 402
  • Przenośny dwukanałowy oscyloskop cyfrowy na FPGA & ARM (max 250MSPS/kanał)

    Witam! Opisany tutaj projekt jest był pracą inżynierską na Politechnice Wrocławskiej dlatego w niektórych kwestiach jest trochę niedojrzały (Teraz kilka rzeczy bym poprawił - człowiek całe życie się uczy - o tym poniżej) :) Schemat blokowy: ZASILANIE Całe urządzenie zasilane jest z akumulatora Li-ion, który to jest doładowywany przez układ MCP73837,...

    DIY Konkurs   22 Sty 2018 21:36 Odpowiedzi: 50    Wyświetleń: 18192
  • KrzysioCart Micro SD - rewolucja dla fanów konsoli Pegasus/Famicom.

    Przedstawiam Wam swoje najnowsze dzieło - KrzysioCart MicroSD - kardridż do konsoli Pegasus, który będzie ostatnim, jaki kupisz - żaden inny już nie będzie potrzebny. Kardridż obsługuję 82% wszystkich gier, jakie wyszły na konsolę NES / Famicom / Pegasus. Obsługiwane są następujące mappery (układy rozszerzające możliwości konsoli) : Częstość | ID |...

    DIY Konstrukcje   22 Gru 2022 18:12 Odpowiedzi: 106    Wyświetleń: 82026
  • połączenie procesor fpga

    hmm... mam zamiar zrobić wkrótce taki projekcik (AVR + Spartan). Na razie jest to bardzo wczesny etap projektowania, dlatego chętnie włączam się do dyskusji na ten temat. Ale co Cię konkretnie interesuje? Mnie dręczą następujące pytania: 1. Współpraca Spartana (2,5 V) z peryferiami (3,3V oraz 5V). 2. Wrzucanie kodu do FPGA (to już mi się akurat trochę...

    Mikrokontrolery   17 Lis 2004 11:43 Odpowiedzi: 2    Wyświetleń: 1023
  • Jaki uklad FPGA do nauki i gdzie go kupic

    Zamontowany jest na nich standardowo Spartan 3 z 200k bramek, a to już całkiem sporo. Cenowo są dość atrakcyjne (99$) - zwłaszcza jeśli weźmie się pod uwagę ceny samych układów (np. S3200 z ponad 200 wyprowadzeń kosztuje w Kamami ponad 80 PLN). Osobiscie mam dobre zdanie o tej plytce. Wprawdzie cena jest troszke za wysoka jak na to co tam zamontowali,...

    Programowalne układy logiczne   07 Lip 2006 13:53 Odpowiedzi: 13    Wyświetleń: 4366
  • ALTERA Flex EPF10K20... konfiguracja

    W przypadku Altery Cyclone istnieje tylko tryb szeregowy konfigurowania (AS i PS). Poradzi sobie z tym każdy procek mający kilka wolnych pinów i/o. Najlepiej użyć do tego celu portu SPI lub innego synchronicznego portu szeregowego co znacznie przyspieszy ten proces. Plik konfiguracyjny można składować w Flash wewn. lub zewnętrznej (np. DataFlash). Zależy...

    Programowalne układy logiczne   24 Sie 2007 13:41 Odpowiedzi: 3    Wyświetleń: 1928
  • Schemat wyświetlacza Nokii 6100.

    Nie do konca jest to procesor bo jest to uklad FPGA(jeszcze nie dobralem czy to bedzie Spartan czy jakis Virtex bo bedzie wynikalo to z design summary komilacji programu - ilosc uzytych zasobow). Na FPGA znam sie tylko od strony programowania ich. A cala sprawa w tym ze na prace magisterska bede budowal konsole do gier(moj pomysl tematu) wlasnie na...

    Mikrokontrolery   26 Sie 2007 18:37 Odpowiedzi: 8    Wyświetleń: 3729
  • Zdjęcia z satelitów meteorologicznych NOAA

    non stop obserwuje temat i sie napalam żeby raz jeszcze podejść do budowy odbiornika wcześniej robiłem z głowicy od tv ale nie wypaliło układ XC2S200-5PQG208C to układ FPGA Xilinx spartan 2 od niedawna uczę się programować takie układy :D może dało by się zrobić to na jakimś tańszym układzie w moich sasobach posiadam xc3042 , xilinx spartan xcs200 pozdrawiam...

    Ogólny techniczny   22 Mar 2024 13:17 Odpowiedzi: 1804    Wyświetleń: 678087
  • Cyfrowa deska rozdzielcza/komputer pokładowy w FPGA

    Witam! Postanowiłem pochwalić się na tym forum, czym od ponad roku się zajmuję... Kończę informatykę na UAM Poznań, a ponieważ moim hobby jest elektronika oraz motoryzacja, jako temat pracy magisterskiej wymyśliłem sobie, że wykonam cyfrową deskę rozdzielczą do samochodu. Tematów komputerów pokładowych do samochodu, na forum było wiele... Jednak mnie...

    DIY Konstrukcje   10 Wrz 2014 22:52 Odpowiedzi: 72    Wyświetleń: 27018
  • Uszkodzone IOB. Czy to mozliwe?

    Pracowalem na ZL10PLD ze Spartanem3. Jeden dzien wszystko bylo ok nastepnego juz nie dzialaly wejscia/wyjscia. Normalnie doprowadzajac zasilanie do plytki wszystkie wyprowadzenia powinny byc w stanie logicznego 1. Z niewiadomych przyczyn (nic nie dzialalem miedzy jednym a drugim uruchamianiem ukladu, po prostu byl spakowany do kartonika) tylko na kilku...

    Programowalne układy logiczne   22 Lip 2008 10:17 Odpowiedzi: 4    Wyświetleń: 1080
  • Xilinx czy Altera? Koszt softu z Embeded System Design, DSP.

    Witam Chciałbym się podzielić swoimi spostrzeżeniami. Swego czasu zawodowo pracowałem na układach Xilinx-a. Obecnie zawodowo mam do czynienia z układami Altery (przy czym zawodowo już nie od strony programowania), a "hobbystycznie" dłubię Alterę. W kwestii zasobów i funkcjonalności Xilinx i Altera jest podobna (chociaż ostatnich Spartanów 6 nie znam...

    Programowalne układy logiczne   09 Wrz 2010 12:41 Odpowiedzi: 11    Wyświetleń: 3793
  • Mobilny dwukanałowy oscyloskop z wykorzystaniem układu FPGA

    Witam! Opisany tutaj projekt jest moją pracą inżynierską na Politechnice Wrocławskiej dlatego nie będzie to opis bogaty w schematy i pliki źródłowe :) Schemat blokowy: ZASILANIE Całe urządzenie zasilane jest z akumulatora Li-ion, który to jest doładowywany przez układ MCP73837, po podłączeniu pod gniazdo USB lub zewnętrzny zasilacz. Proces ładowania...

    DIY Konstrukcje   08 Maj 2013 08:29 Odpowiedzi: 12    Wyświetleń: 22503
  • Izolowana galwanicznie przejściówka USB -> JTAG & UART

    Witam serdecznie! Pragnę zaprezentować dość proste ale bardzo użyteczne narzędzie jakim jest uniwersalny programator JTAG z dodatkowym interfejsem UART. Układ ten powstał w związku z przeniesieniem prac na FPGA serii Artix 7 z zestawów ewaluacyjnych na własne PCB co w oczywisty sposób wymaga posiadania niezależnego programatora JTAG, jednakże fabryczne...

    DIY Konstrukcje   28 Cze 2019 21:30 Odpowiedzi: 11    Wyświetleń: 3081