REKLAMA

projekt skala

Znaleziono około 2040 wyników dla: projekt skala
  • Ustawianie skali po wymianie w odbiorniku R350M

    Nawet i nie marz zdobyć idealny wynik przy zamianie skali! Skale dla wskazanych radioodbiorników są indywidualistyczne . W komplecie producenta radioodbiorników przewidziano dostarczenie 1 zapasowej skali . Wypadek otrzymania idealnego wyniku przy użyciu skali od drugiego radioodbiornika, a tym więcej innej modeli - wyjątek, a nie reguła, z szansami...

    Elektronika Retro   30 Sie 2017 19:29 Odpowiedzi: 9    Wyświetleń: 2427
  • REKLAMA
  • Program do projektowania grafiki.

    Witam, zajmuje się projektowaniem ram rowerowych. W tym momencie korzystam w papieru milimetrowego i ołówka, ale chciałbym poprosić kogoś o pomoc. Potrzebuję jakiś prosty, nieduży i darmowy ( ostatecznie KayGen ) program do projektowania wektorowego, czy jak to się tam nazywa :D. Słyszałem, że w Corel'u można cos takiego robic, ale nie wiem czy istnieje...

    Software serwis   05 Mar 2006 22:16 Odpowiedzi: 11    Wyświetleń: 3084
  • Program do projektowania paneli frontowych.

    Witam. Moje pytanie brzmi: czym projektujecie nadruki na obudowy urządzeń elektronicznych? Chodzi mi o program który będzie pozwalał projektować skalę wokół potencjometrów etc. pozdr. krzych112

    Początkujący Elektronicy   08 Gru 2008 20:50 Odpowiedzi: 2    Wyświetleń: 1380
  • REKLAMA
  • AAXA L1 - laserowy projektor w rozmiarze pico

    Aaxa Technologies jest pionierem w dziedzinie projektorów w skali mini i pico. Firma oferuje szeroką gamę urządzeń o zastosowaniu profesjonalnym, do celów multimedialnych, jak i przenośnych. Najnowszym urządzeniem w ofercie jest kolejny projektor w rozmiarze pico, o nazwie L1. Model ten opiera się o, zbliżoną w konstrukcji do DLP, technologię LcoS...

    Newsy   07 Lut 2010 22:56 Odpowiedzi: 2    Wyświetleń: 3957
  • Projekt w Corel 12. Projekt w skali, wydruk. Jak to zrobić?

    na Zaliczonko mam zaprojektować pokój dla niepełnosprawnego. wszystko narysowałem w wymiarach rzeczywistych. do tego wszystkiego projekt ma być zrobiony w skali 1:20 lub 1:25, ale nie wiem jak to ustawić. a musze ten projekt wydrukować na koniec

    Software serwis   14 Sty 2008 01:08 Odpowiedzi: 4    Wyświetleń: 7833
  • REKLAMA
  • Wykonanie nowej skali do radia Sonatina

    Witam. Nie wiem do końca czy powinienem umieścić post w tym dziale ale chodzi w końcu o stare radio. Z wakacyjnych podroży przywiozłem do domu stare radio "Sonatina" w stanie gorzej niż opłakanym. Stało najprawdopodobniej w przeciekającej szopie i obudowa była prawie całkowicie porozklejana. Przy odrobinie "sił i środków" obudowa powróciła do stanu...

    Elektronika Retro   23 Mar 2008 22:30 Odpowiedzi: 3    Wyświetleń: 7121
  • Skala do potencjometra obrotowego

    Witam Jak wykonać skalę do potencjometrów obrotowych, a może ktoś posiada gotowe projekty? i w jakim programie można zrobić projekt płyty czołowej.

    Warsztat elektronika   16 Mar 2009 16:35 Odpowiedzi: 0    Wyświetleń: 815
  • Skala wydruku z PDF na Konica Minolta bizhub c203 - jak ustawić?

    wydruku z bizhuba c203 z Pdf projektu nie trzyma skali na wydruku jak temu zaradzić ? Dziękuje za pomoc :)

    Drukarki, ksero Profesjonalne   07 Maj 2019 19:12 Odpowiedzi: 0    Wyświetleń: 213
  • Skala odbiornika/licznik czestotliwosci z miernikiem poziomu signalu na Arduino.

    Dzięki za projekt, to Twój?

    Arduino   22 Lis 2023 05:05 Odpowiedzi: 2    Wyświetleń: 180
  • Jak projektować płytki drukowane PCB - część 4 - jak zrobić dobry BoM?

    Witam w czwartej części cyklu poświęconego projektowaniu płytek drukowanych. W poprzednich częściach omawialiśmy zagadnienia związane z Bill of Materials ). Lista elementów na naszej płytce drukowanej dla wielu projektantów jest bardzo nisko na liście priorytetów, w związku z czym nie przykładają oni do niej większej wagi. Niesłusznie - w przypadku...

    Artykuły   11 Wrz 2018 22:16 Odpowiedzi: 13    Wyświetleń: 4080
  • Jak projektować płytki drukowane PCB - część 13 - systemy AR w sofcie ED

    Rzeczywistość rozszerzona (AR) będzie odgrywać znacznie większą rolę w procesie projektowania płytek drukowanych, ponieważ technologia ta staje się coraz bardziej dostępna w szerszych aplikacjach. Chociaż rzeczywistość rozszerzona była do niedawna kojarzona głównie z niszowym rynkiem gier, oczekuje się, że w ciągu najbliższych dwóch lat wartość tej...

    Artykuły   09 Gru 2019 15:23 Odpowiedzi: 2    Wyświetleń: 1650
  • REKLAMA
  • Podróż projektów Navitasa w krainie urządzeń półprzewodnikowych z GaN

    Firma Navitas Semiconductor to główny dostawca urządzeń zasilających z azotku galu (GaN) na rynku konsumenckim. Marka zaprezentowała swoją najnowszą ofertę na targach CES 2023 w Las Vegas. Te urządzenia oparte na GaN rozciągały się od 20-watowych ładowarek do telefonów komórkowych, przez zasilacze do centrów danych o mocy 2 kW. A także ładowarki do...

    Newsy   17 Lut 2023 11:47 Odpowiedzi: 0    Wyświetleń: 294
  • Jak projektować płytki drukowane PCB - część 3 - co to jest panelizacja?

    Witam w trzeciej części cyklu poświęconego projektowaniu płytek drukowanych. W poprzednich częściach omawialiśmy zagadnienia związane z Gdy zamawiamy płytki drukowane, mamy zazwyczaj do wyboru jedną z czterech opcji – indywidualnie frezowane (jak opisano powyżej), tzw. „V-score” lub „V-grove” – nacinane, frezowane...

    Artykuły   03 Wrz 2018 21:17 Odpowiedzi: 19    Wyświetleń: 4695
  • [Zlecę] Projekt sterownika silnika DC oraz BLDC z ekranem dotykowym

    Nasza firma zleci zaprojektowanie następującego urządzenia: Sterownik prędkości obrotowej silnika szczotkowego DC oraz bezszczotkowego BLDC. Urządzenie ma być zamknięte w możliwie najmniejszej obudowie ale rozmiar płytki PCB nie może być większy niż 130x80mm. Do sterowania prędkością obrotową oraz wyświetlania wyników pomiarów będzie służył wyświetlacz...

    Projektowanie Bazar   27 Cze 2016 09:56 Odpowiedzi: 0    Wyświetleń: 2181
  • Cechy dobrego zespołu projektowego?

    Temat rzeka. Bardzo dużo zależy od branży, tematyki, zakresu prac, fazy życia projektu lub produktu i wielkości zespołu. Pracowałem w zespołach <10 osób i w zespołach >200 osób w ramach jednego modułu. Pracowałem jako młody designer, senior, architekt, kierownik zespołu, lider produktu, itp... Po latach pasuje mi funkcja architekta, ale nie ograniczam...

    Projektowanie Układów   25 Cze 2018 18:36 Odpowiedzi: 2    Wyświetleń: 690
  • Proste moduły z i.MX8 przyspieszają projektowanie urządzeń

    Integrator i sprzedawca systemów technicznych z Wielkiej Brytanii, firma Direct Insight współpracuje obecnie z kilkoma zespołami programistycznymi w celu uaktualnienia najnowszej technologii systemów na chipie (SoC) / na module (SoM), wybierając odpowiednie, gotowe narzędzia. SoM łączy w sobie komunikację, multimedia i obsługę wyświetlacza, GPIO, system...

    Newsy   07 Cze 2020 23:56 Odpowiedzi: 0    Wyświetleń: 681
  • konstruktor / projektant potrzebny

    Firma Alled poszukuje osoby do realizacji projektu. Celem projektu jest skonstruowanie prototypu oprawy oświetleniowej, której ruch względem dwóch prostopadłych osi byłby zdalnie sterowany. Poszukiwana jest osoba mająca doświadczenie w projektowaniu elementów mechanicznych oraz znająca się na komponentach służących do poruszania niewielkimi obiektami...

    Automatyka Przemysłowa   10 Cze 2013 16:09 Odpowiedzi: 0    Wyświetleń: 696
  • Lampa zdalnie sterowana- projekt.

    Firma Alled poszukuje osoby do realizacji projektu. Celem projektu jest skonstruowanie prototypu oprawy oświetleniowej, której ruch względem dwóch prostopadłych osi byłby zdalnie sterowany. Poszukiwana jest osoba mająca doświadczenie w projektowaniu elementów mechanicznych oraz znająca się na komponentach służących do poruszania niewielkimi obiektami...

    Robotyka Modelarstwo i CNC   10 Cze 2013 18:21 Odpowiedzi: 1    Wyświetleń: 1068
  • Czy jest to prawidłowy projekt PCB do zasilacza.

    Nie bzdura tylko reguły projektowe. Nie po to np. w rulesach w Altiumie ustawia się rodzaj topologi połączenia. Topologia gwiazdy na ścieżkach masy jest bardzo pożądana w układach mocy jakimi są np wzmacniacze. Przykłady. - Topologia połączeń ścieżek masy powinna tworzyć gwiazdę. Szeregowa masa przy dużych prądach zachowuje się wówczas jak rezystancja...

    Pomoc w PCB   16 Lip 2011 10:21 Odpowiedzi: 8    Wyświetleń: 2260
  • [Inne] Umowy na projekty - dawać gwarancję czy nie dawać.

    AKtualnie jestem na etapie rozruchu dość drogiej maszyny u pewnego przedsiębiorcy, który z fabryką podpisał umowę, dodając tam taki mniej więcej zapis: "Maszyna ma wytwarzać w danym czasie daną ilość produktu o danych x parametrach." Maszyna to ze względu na specyfikę produktu też jest raczej prototyp w skali kraju. Dodam, że nie spełnia póki co założonych...

    Projektowanie i Tworzenie Po godzinach   22 Cze 2018 14:10 Odpowiedzi: 61    Wyświetleń: 3444
  • Jak projektować płytki drukowane PCB - część 17 - błędy podczas montażu QFN

    Firme montujaca nie interesuja standardy projektowania PCB tylko standardy montazu. Interesują i standardy projektowania, bo mnie czasami pytają jakie dałem footprinty albo sugerują żebym dał jakieś konkretne (jeżeli dopiero projektuję płytkę a wiedzą że u nich zlecę lutowanie). Ale napisałeś że nie może być cyny po bokach. Mijasz sie z prawda - napisalem...

    Artykuły   19 Sie 2020 08:54 Odpowiedzi: 19    Wyświetleń: 3231
  • Problemy mechaniczne elektronika - Jak zrobić obudowę? Projektowanie.

    Pierwsza i najważniejsza sprawa: Jak zaprojektować obudowę? Jako przykład proponuję obudowę wzmacniacza, ale sposób może się przydać w każdym innym projekcie. Proponuję na początek zgromadzić wszystkie "części składowe" wzmacniacza - płytki drukowane, transformator, gniazda gałki potencjometrów... Po prostu musimy mieć pod ręką wszystkie elementy,...

    Wnętrza urządzeń   19 Sie 2021 17:36 Odpowiedzi: 59    Wyświetleń: 5931
  • Czasowy sterownik pompy obiegu wody - projekt.

    Jeżeli kolega stosuje gniazdo z bolcem zerujacym to wypada to "zero" podać od źródła. Czołówka jakoś marnie, nie za bardzo przemyślana. 1- nie lepiej było zamiast time "OFF" , napisać "PAUSE"; 2- nie bardzo daje wiare że, skala potencjometrów jest liniowa; 3- fatalnie umieszczone diody "ON" , "POWER"; 4- opis gniazda sieciowego ...lepiej nie pisać....

    DIY Konstrukcje   29 Sty 2011 23:19 Odpowiedzi: 28    Wyświetleń: 17038
  • Własny projekt C.O i C.W.U. Proszę o pomoc w połaczeniach

    Zdaję sobie sprawę że takie rozwiązanie będzie drogie, ale ciekaw jestem czy poźniej da wymierne oszczędności. Chcę założyć solary od razu, jeśli udałoby się dostać dofinansowanie to miałbym małą część instalacji 45% taniej. ( Te dofinanowanie nie wyglada tak różowo. W listopadzie rozmawiałem z panią w BOŚ bank i stwierdziła że jeszcze nikt nie skozystał...

    Systemy Grzewcze Użytkowy   11 Lut 2011 19:05 Odpowiedzi: 10    Wyświetleń: 5738
  • [Praca] Oferta pracy - Projektant Elektronik |Firma Adpilot

    AdPilot jest innowacyjną agencją reklamową, dostarczającą klientom kompleksowe usługi z zakresu marketingu internetowego, wykorzystującą najnowsze technologie. Największą wartością naszej firmy jest Zespół - to ludzie młodzi, zaangażowani, chętnie dzielący się wiedzą. Jeśli chcesz dzielić z nami nasze pasje - dołącz do nas! Projektant Elektronik Miejsce...

    Ogłoszenia Elektronika   10 Lut 2015 14:46 Odpowiedzi: 0    Wyświetleń: 570
  • [Praca] Starszy Projektant Elektroniki, RDlabs, Warszawa

    Starszy Projektant Elektroniki, RDLabs, Warszawa Jeżeli to Ty okażesz się naszym wyborem, a my Twoim, staniesz się odpowiedzialny za samodzielne prowadzenie projektów budowy prototypów urządzeń elektronicznych oraz wprowadzanie tych prototypów do produkcji, a w szczególności: projektowanie elektroniki testowanie elektroniki przygotowywanie projektów...

    Ogłoszenia Elektronika   01 Lut 2017 15:13 Odpowiedzi: 0    Wyświetleń: 918
  • Przetwarzanie w chmurze zmienia wszystko w projektowaniu elektroniki

    Według Jeffa Biera, założyciela Edge AI oraz Vision Alliance, przetwarzanie w chmurze zmienia wszystko w sektorze projektowania układów elektroniki. Dzieje się tak, ponieważ coraz więcej problemów, przed którymi stoją projektanci, rozwiązuje się w chmurze. Portal EDN, w wywiadzie z Bierem, przyjrzał się tematowi dokładniej. Bier podkreślił chmurę jako...

    Artykuły   23 Cze 2020 19:25 Odpowiedzi: 2    Wyświetleń: 1959
  • Nowe ADC Easy Drive ułatwiają projektowanie przy wiodącej wydajności

    Firma Analog Devices przedstawiła wczoraj nową ofertę 16-do-24-bitowych przetworników o sukcesywnej aproksymacji, których stosowanie w projektach upraszcza często złożony proces projektowania przetworników ADC do zastosowań w systemach pomiarowych, przemyśle i opiece zdrowotnej. Nowa, wysokowydajna rodzina układów SAR ADC wykorzystuje opatentowaną...

    Newsy   04 Maj 2022 10:44 Odpowiedzi: 0    Wyświetleń: 396
  • Miniaturowy projektor wyświetlający 70 calowy obraz

    z tego co widze to chyba laserowy projektor. skoro obraz jest wyswietlany przez skanowanie lustrem to ograniczenie rozdzielczosci wynika ze zbyt niskiej predkosci skanowania lustrem. ogromny plus takiego rozwiazania - nie trzeba ustawiac ostrosci To chyba działa zupełnie inaczej niż myślisz.. Dobre projektory laserowe mają 15kpps.. czyli 15 tys punktów...

    Newsy   12 Lut 2009 10:18 Odpowiedzi: 8    Wyświetleń: 4180
  • Projektor laserowy RGB o mocy pół wata

    Program nie umożliwia korekcji tego typu co do dostępu do oscyloskopu niemam takiej możliwości tak poza tym z kąd wziołeś beam cube dla czerwieni nigdzie nie mogę go znaleść wszystkie trafiają mi się do łączenia ir + czerwień ? W takim razie kliknij "swap x/y" (jesli jeszcze tego nie zrobiles). Jesli osie w obrazie sie zamienia, ale dalej obraz bedzie...

    DIY Konstrukcje   23 Maj 2019 00:01 Odpowiedzi: 109    Wyświetleń: 113687
  • 3-drożne podłogówki TVM + VIFA 'Hobbity' - projekt teoretycznie błędny

    Chciałbym zaprezentować i podyskutować o moich w bólach rodzonych podłogówkach zwanych przez moją żonę „Hobbitami” (po zaprojektowaniu zapytała o ich rozmiary i na informację, że będą miały 1,2m wysokości odparła „O, to tyle co hobbity”. No i tak zostało;)). Projekt prawdopodobnie spotka się z krytyką, ale moje założenia były...

    DIY Akustyka   03 Sty 2012 09:37 Odpowiedzi: 14    Wyświetleń: 10834
  • Demokratyzacja procesu projektowania układów

    pouczający jest jeden przykład. Ponad 60 procent projektów w ramach pierwszego uruchomienia programu sponsorowanego przez Google, a zarządzanego przez Efabless przy pomocy 130nm open-source PDK firmy SkyWater... Ten wymiar technologiczny był dobry 20 lat temu. Dzisiaj to technologia tak bardzo przestarzała, że trudno już znaleźć maszyny w niej operujące....

    Artykuły   02 Lut 2022 19:04 Odpowiedzi: 22    Wyświetleń: 1410
  • Elastyczne kable kriogeniczne upraszczają projektowanie komputerów kwantowych

    Firma Delft Circuits poinformowała o dołączeniu do projektu BICEP na Antarktydzie, wspierając Jet Propulsion Laboratory (JPL) NASA w California Institute of Technology i innych partnerów przedsięwzięcia. Zespół z JPL ustalił, że zaawansowane kable wykonane przez Delft Circuits zostaną zainstalowane w kriostacie teleskopu jako część nowej kamery. JPL...

    Newsy   30 Gru 2022 03:53 Odpowiedzi: 0    Wyświetleń: 492
  • Projekt dużego obciążenia

    Kolego Projektancie, patrząc na twoje pytania i dobór przekroju 5mm2 nasuwają się pytania czy aby masz uprawnienia do projektowania instalacji, a jeśli je masz to kto ci je dał. Jeśli natomiast nie masz uprawnień to nasuwa się pytanie czy nie lepiej zlecić projekt osobie która się na tym zna? W innym przypadku obawiam się, że z twojego projektu wyjdą...

    Elektryka Instalacje i Sieci   03 Maj 2014 10:58 Odpowiedzi: 12    Wyświetleń: 1770
  • LABORATORYJNY WOLTOMIERZ ZE SKALĄ LOGAR. PE 9/98

    co do PE sie zgodze ocs trefnie działają. Gdy myślałem że padli okazało że dają znak życia ale znów milczą. jakiś czas temu prenumerate zamawiałem i żadnej odpowiedzi. Szkdoa ich, dobre artykuły były i projekty.

    Projektowanie Układów   27 Lut 2003 20:53 Odpowiedzi: 2    Wyświetleń: 2990
  • wsk. wysterowania z detektorem wart.sztytowej-własny projekt

    Wczoraj pierwszy raz miałem do czynienia z LM3915. Zmontowałem na pająka schemat aplikacyjny, zeby to bliżej poznać. Stawiam pierwsze kroki w elektronice, jestem samoukiem, na studiach jeszcze nie miałem nawet teorii obwodów, ale jak narazie, staram sie samemu coś osiągnąć :P cały czas się ucze. Z obserwacjii wyciągnałem jeen podstawowy wniosek: LM3915...

    Projektowanie Układów   02 Lut 2006 18:02 Odpowiedzi: 10    Wyświetleń: 2891
  • Miernik SPL - projekt.

    Zrobiłem wstępny projekt na opampie. Powiedzcie co o nim sądzicie., co zmienić lub poprawić. Układ zasilania to będzie 2xbateria 9V Tak będzie chyba najwygodniej. Przy takim zasilaniu mikrofonu wg jakiegoś projektu z internetu uzyskuje się ok 50mV przy 70dBSPL. Chyba trzeba będzie rozwiązać osobne strojenie dla każdego zakresu z osobna, bo obawiam się...

    Projektowanie Układów   02 Lut 2006 12:11 Odpowiedzi: 3    Wyświetleń: 2249
  • Oscyloskop jednokanałowy? Prosty projekt. Co o tym sądzicie?

    Dobrze, powiedzmy że jeśli ustawie to urządzenie 2 metry od ściany, to na obszarze 0,5 metra prędkość ta niewiele się zmieni i mogę przyjąć ją za stałą z niewielkim błędem. Równie dobrze można odpowiednio rozciągnąć skalę (jeśli się zakłada jej wykonanie, np na samym urządzeniu zrobić taki ekranik), będzie to funkcja trygonometryczna położenia, kiedyś...

    Projektowanie Układów   10 Lut 2007 17:42 Odpowiedzi: 7    Wyświetleń: 2165
  • Karuzela łańcuchowa z silnikiem 220V. Opracowanie projektu.

    A jakie chcesz obroty osiągać w skali 1:1 i jakie wymiary? ja podasz te parametry to zrobie projekt i wstępny kosztorys. na swoim koncie mam dwie katruzele i jedno koło młyńskie.

    Automatyka Przemysłowa   06 Kwi 2011 12:56 Odpowiedzi: 6    Wyświetleń: 4512
  • Projektor Vivitek H1086-3D Full HD

    Wśród nowych ofert projektorów 3D pojawił się ostatnio model firmy Vivitek - H1086-3D . Oferuje on najwyższą obecnie na rynku rozdzielczość projektowanego obrazu - 1080p oraz obsługę trybu 3D . Projektor ma też zdolność automatycznego przekształcania obrazu bezpośrednio z 2D na 3D . Sprzęt wyposażono w złącze HDMI, wejście VGA, S-Video, Kompozytowe,...

    Newsy   08 Mar 2012 15:50 Odpowiedzi: 1    Wyświetleń: 1458
  • Jakie silniki dobrać. Nowy projekt.

    Witam. Chcę zbudować czołg rc. Czołg będzie stosunkowo duży ponieważ będzie w skali ok. 1:6, na razie nie jestem w stanie dokładnie określić ile będzie ważył lecz przypuszczalnie ok.70-100kg. w Związku z tym na początek, mam do Was pytanie: jakie mógłbym dobrać silniki oraz przekładnie aby udźwignęły taki ciężar? Może silniki z wycieraczek samochodowych,...

    Robotyka Modelarstwo i CNC   09 Maj 2013 14:11 Odpowiedzi: 15    Wyświetleń: 6304
  • Mikroprocesor STM32F072RB-projekt

    Ilu diod? To znaczy ilu częstotliwości środkowych? Jak zwykle, nikt nie zdaje sobie sprawy z podstawowej wady FFT - ono daje widmo o liniowej częstotliwości, a w większości przypadków potrzebna jest logarytmiczna skala. Tak jak w akustyce. Zrobisz sobie FFT, da Ci, dajmy na to 2048 prążków, tak dla przykładu, na pierwszy słupek gdzie masz np. 100Hz...

    Inne Szukam   03 Wrz 2016 11:31 Odpowiedzi: 2    Wyświetleń: 1371
  • Układ imitacji dźwięku lokomotywy analogowej parowej w skali H0 lub G

    Zmiana koncepcji. Projekt chwilowo stoi, ponieważ piękna firma dsmb z allegro przysłała mi bubla nie zgodnego z opisem i jeszcze chamsko mi wmawiała że drobne różnice to nie mają żadnego wpływu na działanie. Sprzedają chińskie buble których działania nawet nie znają. Pomysł opiera się na symulatorze z gry. W tej chwili mam już sprzęt i mogę kontynuować,...

    Początkujący Elektronicy   17 Sty 2016 19:04 Odpowiedzi: 11    Wyświetleń: 2484
  • Urządzenie do biura projektowego Bizhub C220 ?

    Witam, Potrzebuję urządzenia wielofunkcyjnego do biura projektowego. Założenia: Cena do 5000 zł Format A3 (najlepiej z banerami ale dam rade bez), Kolor (Druk + skan) - głównie wydruki mono - 85% Nakład - 3500 szt/miesiąc z tendencją wzrostową ;) liczył bym 5000 Koniecznie druk/skan dwustronny z podajnikiem automatycznym Koniecznie minimum 2 kasety...

    Drukarki, ksero Co kupić?   10 Mar 2016 17:46 Odpowiedzi: 3    Wyświetleń: 870
  • [VS2015] - Skala okienka programu

    Nie może chodzić o skale bo przeskalowane by było wszystko, łącznie z okienkiem vs, nie wspominając o widoku projektu. Czy taka sytuacja ma miejsce przy każdym tworzeniu nowego projektu?

    Programowanie   14 Maj 2016 08:07 Odpowiedzi: 6    Wyświetleń: 687
  • Hałas w trybie ECO w projektorze LG PF1500G

    Drodzy eksperci, W moim projektorze LG PF1500G wyprodukowanym w styczniu 2016 wszystko jest pięknie oprócz poziomu hałasu w trybie ECO (a dokładnie w trybie Maksymalnej oszczędności energii). Wg. specyfikacji () powinien wtedy generować hałas 21 dB co praktycznie oznacza, że byłby nie do usłyszenia w zwykłym mieszkaniu czy domu. Jednak moje pomiary...

    RTV Użytkowy   12 Sie 2016 19:24 Odpowiedzi: 1    Wyświetleń: 1053
  • Jakie serwa do skali 1:12?

    995 15kg. Na pewno przyda się w innych projektach. Ok dzięki. Dodano po 19 995 15kg. Na pewno przyda się w innych projektach. A mam pytanie. Jak co sie pierwsze włącza odbiornik czy nadajnik?

    Robotyka Modelarstwo i CNC   18 Gru 2017 15:18 Odpowiedzi: 39    Wyświetleń: 1785
  • Magiczne oko EM80. Czy ktoś ma uwagi do projektu?

    Dzięki za info. Znalazłem ta lampę w starociach Taty. Kiedyś widziałem jak działa :) jakieś 30 lat temu. Prawie wszystko już jest, jeszcze tylko podstawka i jazda w Tosce z Diory :) No ba po co komu skala. Pozdrowienia Maciek

    Projektowanie Układów   13 Sty 2020 22:03 Odpowiedzi: 2    Wyświetleń: 2055
  • Napędy DVD w grawerze laserowym CNC - nieprawidłowa skala kroków w programach UGS, LaserGrbl

    Szukałem, ale nie znalazłem. Zrobiłem grawer laserowy z napędów DVD, w internecie jest pełno tego typu projektów. Wszystko działa z jednym ale. Silniki, które mają wykonać jeden krok, wykonują ich kilka. Długość szyn, po jakich się poruszają to 37mm. Tą odległość pokonują jako 1mm w programie UGS, LaserGrbl. To pewnie kwestia ustawień, ale próbowałem...

    Amatorskie Maszyny CNC   18 Lis 2020 13:23 Odpowiedzi: 3    Wyświetleń: 456
  • Praca - Szukam osób chętnych do pracy nad projektem.

    (at)Sadzio98 Zrobiłeś pierwszy krok i zarejestrowałeś się na elektroda.pl. Zrobiłeś drugi krok i założyłeś temat, w którym opisałeś wymagania wobec współpracowników oraz dość mgliście cel tego wspólnego przedsięwzięcia. Wykonałeś też kolejny krok, w którym raczej pogrzebałeś swoje szanse. Jako autor jawny i niejawny kilku inicjatyw na forum mogę tylko...

    Projektowanie i Tworzenie Po godzinach   07 Sty 2021 18:55 Odpowiedzi: 83    Wyświetleń: 8505
  • Mikro turbina wiatrowa - projekt.

    To, co robisz - to dobre przedbiegi do większego projektu, poznajesz wszystkie problemy, tutaj w małej skali, ale tak wygląda inżynieria - testy na małym modelu. Szkoda, że Polska nie jest wietrznym krajem, a jedynie niektóre jej regiony i okolice nadają się do stawiania generatorów wiatrowych, dających przynajmniej zwrot inwestycji w jakimś sensownym...

    DIY w budowie   01 Paź 2022 20:22 Odpowiedzi: 12    Wyświetleń: 5430
  • Ocena projektu kolumn na stx

    ten skos,jeśli go puścisz przez całą szerokość,zwiąże ścianki.daj jeszcze takie związania w innych miejscach.przepraszam,że się arhitektury czepiam,ale boję się,że takie rozmieszczenie głośników nie będzie ładnie wyglądać.narysuj sobie płytę czołową na podłodze np.,skala 1:1 i kombinuj z rozłożeniem głośników.asymetria w ich rozmieszczeniu powinna dobrze...

    Audio Estradowe, Sceniczne, Studyjne   22 Lip 2005 20:46 Odpowiedzi: 14    Wyświetleń: 1700
  • WinISD -2 takie same projekty a rózne wykresy - dlaczego?

    Mam nadzieję że "projektant" tego suba się nie obrazi, ale głośnik za takie pieniądze i z takim wykresem byłby ewenementem na skalę światową. Kolejki chętnych ustawiałyby sie po niego jak za PRL po papier toaletowy. Wykazał sie dużą inwencją w zakresie grafiki :D.

    Audio Estradowe, Sceniczne, Studyjne   03 Mar 2006 13:27 Odpowiedzi: 9    Wyświetleń: 1055
  • Ćwiczenia projektowe z maszynoznawsta

    Witam co do sterowania silnikiem asynchronicznym to jest to stosunkowo łatwe do zrealizowania, tylko pytanie brzmi czy ma to być układ sterowany z przekształtnika (falownika) czy za pomoca starych dobrych przekaźników. Jeżeli chodzi o przekształtnik to oprócz prostownika i falownika trzeba by bylo zaprojektować układ sterowania tyrystorami. Do tego...

    Początkujący Elektronicy   12 Mar 2006 12:30 Odpowiedzi: 1    Wyświetleń: 1194
  • Prosty zegarek na 8051. Prezentacja projektu.

    Bezpośrednio się nie da, dotknięcie sondą oscyloskopu lub częstościomierza do wyprowadzeń kwarcu skutecznie rozstraja generator. Pomiaru można dokonać w sposób pośredni - stworzyć prosty programik który w pętli naprzemiennie wystawia stan niski i wysoki na dowolnym porcie wyjściowym mikrokontrolera. Znając ilość taktów zegara potrzebnych na wykonanie...

    DIY Konstrukcje   13 Cze 2008 05:42 Odpowiedzi: 55    Wyświetleń: 16946
  • Projekt robota- mały lekki "niuchacz", zapraszam d

    Lordzie Blaha, za ten routero-mózg masz piwo, jak jesteś gdzieś z okolicy:D no i letni:D. Routerek to super pomysł, np taki Syslink z Linuxem, do tego kamerka, mamy wifi, mamy kabel utp, niski pobór energii , małę wymiary i bardzo duża integracja. Teraz wady: 500 metrów kabla skrętki ciągniętego po ziemi, nawet nie chce liczyć ile taka ilość kabla waży...

    Robotyka Modelarstwo i CNC   08 Sie 2009 00:56 Odpowiedzi: 9    Wyświetleń: 4484
  • "Becky", gitara elektryczna własnego projektu.

    Hiv_sick gitara jest a raczej była nastrojona miesiąc temu na pół tonu niżej na każdej strunie, tak jak powinna być do utworu którego fragmenty umiem wybrzdąkać. Próbka 1 miała przedstawiać teoretycznie strój standardowy więc jest zagrana z palcem na pierwszym progu ala capodaster. Możliwe że to nie był najmądrzejszy pomysł , ale nie chciało mi się...

    DIY Akustyka   03 Lip 2010 19:16 Odpowiedzi: 25    Wyświetleń: 12406
  • Przerabianie dokumentacji projektu na gotowe do druku płytki

    Witam Mam 14 lat elektroniką interesuje się odkąd pamiętam (mając 5 lat nauczyłem się lutować). Ostatnio moja pasja bardzo szybko się posuwa do przodu. Często korzystam z dokumentacji kitów AVT i od zawsze szukałem wzorów płytek do nich. Niestety tylko niektóre z nich są zamieszczone na internecie. Więc postanowiłem wymyślić jakiś sposób na przerobienie...

    Projektowanie PCB   28 Mar 2011 02:30 Odpowiedzi: 1    Wyświetleń: 1890
  • Zasilacz laboratoryjny/warsztatowy na bazie projektu Electronics-Lab

    Zasilaczy prezentowanych w dziale DIY było ostatnio sporo, a zdecydowana większość z nich bazowała (właściwie to była kopią 1:1) na popularnym projekcie "Electronics Lab". Choć moje konstrukcje też często pozostawiają wiele do życzenia, to oglądając je od dłuższego czasu, widziałem co rusz powtarzające się (moim zdaniem) błędy czy niedociągnięcia....

    DIY Konstrukcje   25 Gru 2011 19:49 Odpowiedzi: 47    Wyświetleń: 42882
  • Dostarczanie internetu na skalę całego miasta

    " ilu userów pod jeden masz się podepnie? " Zależy jakie szybkości się oferuje. Ja mam po max 10 userów na kartę z czego transfer do klienta nie przekracza 6Mbps. Te parametry są bardzo naciągnięte z przyczyn czysto marketingowych :) Jednak większość nie wysyca więcej niż 1-2Mbps w szczycie. Dobrze było by sobie też określić wstępne założenia projektu....

    Sieci WiFi   17 Sty 2012 20:59 Odpowiedzi: 7    Wyświetleń: 2073
  • Projektowanie silnika komutatorowego. Kto to robi i jakie to trudne?

    Witam, Chciałem zagłębić się trochę w temat zaprojektowania silnika komutatorowego. Silnik jednofazowy 230V moc ok. 2000W obroty 25000 rpm. Mówiąc krótko coś takiego jak silnik w mocniejszych odkurzaczach. Pierwsze pytanie które mi się nasuwa (oczywiście oprócz ceny) to czy można i jak bardzo można taki projekt schrzanić. Inaczej, czy jest to tak, że...

    Elektro Maszyny i Urządzenia   09 Maj 2014 07:35 Odpowiedzi: 22    Wyświetleń: 3115
  • skala H0 oświetlenie wagonów -

    W DCC mamy napięcie 14-16V. Częstotliwości jednak dość duże (dla 1 50us, dla 0 100us), to 3 rzędy wielkości więcej niż mostki zasilające są projektowane. Warto sprawdzić w nocie czy podziała na taką częstotliwość (sory, ale nie mam chwilowo możliwości czasowej przejrzenia stosownej noty). W pierwszej próbie sprawdziłbym czy nie da się zrobić po prostu...

    Robotyka Modelarstwo i CNC   31 Sty 2014 10:31 Odpowiedzi: 10    Wyświetleń: 4506
  • Skala czasu Verilog - co to znaczy

    Verilog to HDL (język opisu sprzętu) używany do modelowania systemów elektronicznych. Zwykle używamy go podczas projektowania układu cyfrowego i weryfikacji różnych rodzajów obwodów (również cyfrowych, analogowych i mieszanych). Jedną z najważniejszych cech symulacji Verilog jest jej skala czasowa, ponieważ domyślnie nie ma żadnych opóźnień czasowych....

    Początkujący Elektronicy   07 Paź 2014 21:38 Odpowiedzi: 0    Wyświetleń: 8106
  • Re: Re: Re: Projekt stabilizowanego zasilacza laboratoryjnego

    Ok więc niech będzie myślałem o zapasie a prąd maksymalny jaki chcę wykręcić to 15a prąd ciągły 10h ok 10A dorzucam fote transformatorków kture na początek powinny wystarczyć Ten mały transformator do zasilania pomocniczego się nada, co prawda na schemacie jest taki z podwójnym uzwojeniem wtórnym a u ciebuie widzę tylko cztery wyprowadzenia, ale mozesz...

    Początkujący Elektronicy   15 Cze 2015 09:06 Odpowiedzi: 34    Wyświetleń: 9615
  • Dialux - Projekt w DiaLux

    Z tym co kolega napisał zgadzam się tylko przy exporcie czasem warstwy bądź parametrów warstw (kolor/grubość) się przydają. Co do samego wczytywania warstw czy bloków to czasem ich nie widać bądź nie da się ich wczytać albo mają dziwne wsp. skal - wtedy plik .dwg trzeba przerobić ręcznie. Ja swoją bazę boków np. do proj. inst. el. mam sparametryzowaną...

    Elektryka Światło i Oświetlenie   08 Lip 2015 12:13 Odpowiedzi: 23    Wyświetleń: 13335
  • Solarny wzmacniacz audio - Projekt 2000

    Witam podsumowując temat - sprzęt już jest po modernizacji wszystko pięknie gra, choć były małe problemy z uruchomieniem ale finalnie wygląda i gra ładnie. Teraz przedstawię po kolei wszystkie etapy tej modernizacji, więc zaczynamy. Przed modernizacją sprzęt wewnątrz wyglądał strasznie, jakby się jakiś przewód przypadkowo urwał to szczerze powiedziawszy...

    DIY Akustyka   15 Lis 2015 15:52 Odpowiedzi: 24    Wyświetleń: 15177
  • Projektowanie systemów zasilania dla przetworników ADC - część 1

    Czy napotkałeś kiedyś sytuację, że układ odbiegał swoimi osiągami od spodziewanych parametrów? Projekt całego toru sygnałowego był wręcz idealny, a nadal coś się nie zgadzało? Często okazuje się, że winę za to ponosi system zasilający. W cyklu artykułów, rozpoczynającym się z tym wpisem, opiszemy kilka istotnych kwestii związanych z projektowaniem systemów...

    Artykuły   29 Gru 2015 14:00 Odpowiedzi: 0    Wyświetleń: 3693
  • 10 projektów na nudne lato: Autonomiczny samochodzik RC (4)

    Nadal jest lato, chociaż pogoda może nie dopisuje. Każdy ma trochę więcej wolnego czasu niż zazwyczaj. Czas ten spożytkować można na wiele sposobów - granie w gry komputerowe, oglądanie filmów na youtubie czy uprawianie sportów to tylko niektóre z możliwości. Ale oczywiście elektronicy i programiści - hobbyści i zawodowcy - mają teraz więcej czasu...

    DIY Zagranica   16 Lip 2016 10:02 Odpowiedzi: 0    Wyświetleń: 6414
  • Projektowanie aplikacji, podział SQL i NoSQL - wspólny projekt

    1. no właśnie bezpieczeństwo danych to nie ACID. To nie są identyczne pojęcia, i w mojej głowie następuje / nastąpiło zaakceptowanie tego. 2. ogromne parametry liczbowe (Ghz CPU czy pojemności) wygenerują/już generują nowe problemy. Np nie sposób zrobić użytek z tego w duchu ACID będąc choćby o 10 metrów, prędkość światła nie puszcza. To już Einstein...

    Programowanie   02 Gru 2017 10:24 Odpowiedzi: 12    Wyświetleń: 1110
  • Jak projektować mostki H dla silników elektrycznych DC

    Niestety widać że masz mgliste pojęcie o temacie. Przede wszystkim trzeba Ci jasno napisać że pojemność ścieżek nie zależy od płynącego przez nie prądu (nie bezpośrednio, może najwyżej pośrednio jak ten prąd nagrzewa ścieżki, ale wtedy to będą zmiany rzędu co najwyżej promili). Druga sprawa to to krzyżowanie ścieżek. Wcale nie wprowadza ono pojemności....

    Artykuły   19 Lut 2018 14:59 Odpowiedzi: 72    Wyświetleń: 10806
  • Jak się podszkolić w projektowaniu oprogramowania? :)

    (at)krisRaba Każda większa firma ma swój WoW, framework i procedury. Nie zaryzykowałbym pracy w firmie krzaczek, której plany to całe 6 miesięcy. Jeżeli chcesz być jak człowiek orkiestra, które zna się na HW, FW, SW, to pozycja architekta jest całkiem ok, ale zapomnij o grzebaniu w szczegółach. Może podczas review trafi się okazja wypytać o takie rzeczy,...

    Projektowanie i Tworzenie Po godzinach   18 Lis 2018 21:45 Odpowiedzi: 74    Wyświetleń: 4677
  • Historia programów do projektowania płytek drukowanych - część 1

    W pewnym polskim zakładzie produkującym na małą skalę odbywało się to tak, że projekt schematu był robiony "na brudno" a następnie Panie kreślarki rysowały go na kalce technicznej. Z tej dokumentacji kolejne osoby zajmowały się tworzeniem projektu płytki. Do dziś z tych czasów zachowało się w tabelce rysunkowej "kreślił/a" poniżej "projektował". Rysowanie...

    Artykuły   20 Wrz 2018 06:53 Odpowiedzi: 59    Wyświetleń: 9222
  • I co mi zrobicie? Historia pewnego (zespołowego) projektu.

    Z mojego doświadczenia wynika, że fraza "młody, dynamiczny zespół" oznacza poważne problemy firmy w utrzymaniu pracowników. W praktyce, co miesiąc ktoś odchodzi, ktoś przychodzi. Naturalna rotacja na poziomie 2% w skali roku jest ok. Jak jest 5% to już trzeba się zastanowić co jest źle. Jak jest 15%, to sytuacja jest tragiczna. Pracowałem w firmie,...

    Tematy tygodnia   19 Cze 2019 11:07 Odpowiedzi: 41    Wyświetleń: 4665
  • Prosty projektor laserowy + mikrokontroler - pytania

    Witam, Rozmyślam o zbudowaniu projektora laserowego sterowanego mikrokontrolerem (najprawdopodobniej Particle Photon), który miałby mieć następującą funkcjonalność: - wyświetlać interaktywne obrazy generowane przez mikrokontroler i podłączone doń przełączniki/sensory itp., - (opcjonalnie) mieć trzy potencjometry na obudowie do korygowania perspektywy...

    Optoelektronika   14 Mar 2019 16:11 Odpowiedzi: 1    Wyświetleń: 651
  • Na elektroda.pl projektujemy otwarty protokół bezprzewodowej komunikacji - unirf

    Witam, Pomysł na opracowanie własnego protokołu uważam za bardzo dobry. Szanowane grono specjalistów z elektrody będzie mogło w końcu zająć się czymś co wyróżni ich na scenie internetowych forum technicznych, co zawsze uważałem za najbogatsze to (elektroda), jednak oddając coś społeczeństwu jako własny projekt utworzony przez zrzeszających się specjalistów...

    Tematy tygodnia   05 Paź 2021 20:19 Odpowiedzi: 36    Wyświetleń: 6375
  • Wzmacniacz na tranzystorach na początek- czy to dobry projekt?

    Nie wiem czemu wszyscy na tym Forum "z góry" negują sens budowy wzmacniaczy małej mocy, według starych projektów i na tanich, ogólnie dostępnych elementach? Kto wszyscy, bo ja wręcz przeciwnie ,ale, ale pod spełnionymi warunkami . Jeżeli nauczysz się popełniać błędy, nie widzieć ich istoty przy małych konstrukcjach , w przypadku pracujących na tej...

    Początkujący Elektronicy   26 Maj 2022 12:24 Odpowiedzi: 42    Wyświetleń: 1692
  • Metodologia projektowania sprzętu jest błędna, co szkodzi branży

    Projekt i obliczenia to jest jedno i z nimi może nie być nic złego, gorzej jak się potem dostaje na produkcji nie to co się zamówiło. Problemy pojawiają się gdy te obliczenia nie są w żaden sensowny sposób interpretowane. Tak jakby programy do wspomagania projektowania wypluwały prawdę objawioną a nie był jedynie programem do wspomagania projektowania....

    Newsy   10 Cze 2023 18:38 Odpowiedzi: 23    Wyświetleń: 1767
  • Startup szuka pasjonata do projektu dronów wojskowych dla Ukrainy

    (at)costec Zauważ że sprzęt wojskowy zawsze był kilkanaście lat wstecz jeżeli chodzi o rynek cywilny. Fakt, może i osprzęt jak czujniki i inne takie był opracowywany specjalnie dla wojska, ale komputery i oprogramowanie nadal zahacza o XXw. Czemu? Bo jest dobrze znane i już teraz jako-tako bezawaryjne. Przynajmniej tak było do niedawna. 40 lat temu...

    Projektowanie Bazar   10 Lut 2024 21:24 Odpowiedzi: 16    Wyświetleń: 861
  • Układ ekspozycji do skalarnego analizatora obwodów

    Witam wszystkich, zajmuję się właśnie konstrukcją skalarnego analizatora obwodów na pasmo GSM (projekt na uczelni...) - cała część w.cz. jest już w zasadzie gotowa, pozostała tylko kwestia stworzenia odpowiedniego układu ekspozycji danych... no i tu właśnie pojawia się problem i moja wielka prośba o poradę :) Sprawa w zasadzie nie jest skomplikowana,...

    Mikrokontrolery   23 Lis 2007 09:50 Odpowiedzi: 7    Wyświetleń: 1257
  • Projekt: Sieć komputerowa + okablowanie telefonicznie

    Witam Jak się robi projekty za kilka tysiączków to się powinno wiedzieć takie rzeczy Smile. Jest to projekt czysto akademicki, niestety studiujemy informatykę i na temat technologii telefonicznych nie mamy bladego pojęcia(może przesadzam, ale na pewno nie w stopniu by projektować rozwiązania na taką skalę), natomiast rozwiązanie w technologii telefonii...

    Sieci Początkujący   03 Sty 2010 17:46 Odpowiedzi: 3    Wyświetleń: 1977
  • [Zlece] Zapłace za program, projekt i garść informacji.

    Nazarldz - problem nie w tym jakie to proste, tylko w tym, że masz wymagania jak dla produkcji na skale masową w tysiącach sztuk, a to już każdego obchodzi, inaczej takie rzeczy się ceni i naprawde inaczej projektuje, uwzględniając wiele czynników nie branych pod uwagę przy pojedyńczej sztuce.

    Ogłoszenia Elektronika   10 Kwi 2010 21:45 Odpowiedzi: 19    Wyświetleń: 1915
  • [Zlecę] Falownik jednofazowy PWM skalarny

    Poszukuję osoby mającej doświadczenie w projektowaniu falowników jednofazowych PWM skalarnych (U/f=const) o mocy do 2kW. Osoby zainteresowane współpracą proszę o kontakt slawek.marczak(at)gmail.com

    Ogłoszenia Elektronika   21 Sty 2012 09:14 Odpowiedzi: 2    Wyświetleń: 1518
  • [Sprzedam] Sprzedam projekt oraz gotowy falownik 1faza/3fazy

    Sprzedam gotowy projekt (opis, schematy i płytkę w eagle, oraz całe gotowe urządzenie) falownika sterowanego modulacją PWM zasilanego z sieci 230V 50Hz z wyjściem trójfazowym. Układ jest uruchomiony i przetestowany. Osobno trzeba jedynie podłączyć mikrokontroler sterujący w moim przypadku był to C8051F040 na płytce uruchomieniowej. Do projektu dodaję...

    Projektowanie Bazar   17 Lis 2012 12:44 Odpowiedzi: 0    Wyświetleń: 3267
  • Mój pierwszy projekt ! Zasilacz 0...33V 10.5A

    Rady kolegów na pewno się mi przydadzą. W moim następnych urządzeniach będę na pewno o nich pamiętał. (at)Ricoh_220 twoja pomoc też była bezcenna. Co do obudowy zamawiałem. Sam podałem wszystkie pomiary co do mm, projektowałem ją na kartce papieru A3 w skali 1:1. Zajęło mi to cały dzień ale było warto moim zdaniem.

    DIY Poczekalnia   19 Sie 2015 14:29 Odpowiedzi: 45    Wyświetleń: 11223
  • Projekt instalacji domu-zapytanie.

    No przecież od razu widać, że jest to projekt-typówka, na masową skalę produkowany. Zresztą nazwa "archon" mówi o tym z miejsca. Po drugie, nie znamy układu sieci zasilającej, nic nie wiemy o uziemieniu pod/przy budynku. Co do FR - jeżeli już stosujemy rozłącznik 4-polowy, to przynajmniej taki, w którym tory fazowe załączane są później, a wyłączane...

    Elektryka Dla Każdego   07 Sie 2017 20:31 Odpowiedzi: 91    Wyświetleń: 6465
  • Uniwersalny falownik skalarny na Arduino/ATMega328

    Ogólnie na Hantek który jest przystawką do komputera ma ograniczenie na napięcie wejściowe do +/- 35V () Co oznacza, że jeśli masz sondę która wytrzymuje 2000V ale jeśli jest to sonda 10x (dzielnik 1:10) to niestety na oscyloskopie zmierzysz max 350V Vpp, a przypominam że napięcie sieciowe ma około 650Vpp. Ogólnie to ja bym na Twoim miejscu nie zbliżał...

    Projektowanie Układów   20 Lis 2017 13:18 Odpowiedzi: 29    Wyświetleń: 6132
  • Szybkie projektowanie PCB przy minimalnym nakładzie pracy - PULSONIXSponsorowany

    I to wtedy działa na przykład z typowym linuksowym sterownikiem drukarki? Sprawdziłem przed chwilą i u mnie w Mint 18.2 Xfce działa wydruk z DipTrace do pliku PDF i bezpośrednio na fizycznej drukarce. Sprawdziłem skalę wydruku przykładając układ scalony DIP do wydruku na fizycznej drukarce i u mnie wydruk jest idealnie w skali 1:1. Jedynie co to u...

    Projektowanie PCB   03 Kwi 2020 20:30 Odpowiedzi: 54    Wyświetleń: 10512
  • Jaki kserograf do biura projektowego , dobry wydruk i niska eksploatacja?

    Hey To że nie będzie części dostępnych w oficjalnym kanale Develop / Konica to wiem od nich, że produkcja została okrojona do 5 lat od zakończenia produkcji modelu. Leasing jak ktoś nie ma kasy to wtedy leasing lub kredyt , leasing łatwiej wziąć. 2 tygodnie wcześniej sprzedałem do biura projektowego maszynę develop ineo +258 i są zadowoleni bo w końcu...

    Drukarki, ksero Co kupić?   12 Mar 2021 08:40 Odpowiedzi: 16    Wyświetleń: 1044
  • Jak projektować płytki drukowane PCB - część 2 - THT czy SMD?

    Czy projektanci, którzy tworzą małoseryjne i prototypowe urządzenia powinni korzystać raczej z elementów do montażu powierzchniowego (SMD) czy trzymać się elementów przewlekanych (THT)? Te drugie są dużo łatwiejsze do zobaczenia u montażu, ale czy to wszystko, co się liczy? Każdy, kto projektuje płytki drukowane do niewielkich serii urządzeń zadaje...

    Artykuły   05 Wrz 2018 11:23 Odpowiedzi: 43    Wyświetleń: 7230
  • Projektowanie GUI i sterowanie diodami - Raspberry PI vs inne mikrokontrolery

    Nie istnieją mikrokontrolery Arduino lub Nucleo. To są płytki do prototypowania, na których można znaleźć mikrokontrolery AVR, ESP, STM32 (Arduino) lub STM32 (Nucleo). Możesz przyjąć że mikrokontrolery nie obsługują języków typu Java ani Python. Nawet jeżeli ktoś gdzieś napisał protezy, to działa to w bardzo ograniczonym zakresie i wsparcie do tego...

    Początkujący Elektronicy   20 Lip 2020 13:06 Odpowiedzi: 6    Wyświetleń: 393
  • Projekt własnej latarki Convoy s2 z LED SST-40: Wybór komponentów i sterowanie jasnością

    Czy regulator będzie stratny czy nie, to sam LED wydziela znaczne ilości ciepła, Convoy S2 mimo sporej powierzchni korpusu w powietrzu może się nagrzać do temperatury takiej że nie da się dotknąć, z moich obserwacji wynika że jak się ją dobrze trzyma to temperatura jest mniejsza - widać dłoń odbiera ciepło. Pierwsze pytanie do konstruktora czołówki...

    Początkujący Elektronicy   06 Sty 2022 10:14 Odpowiedzi: 2    Wyświetleń: 222
  • Darmowy program do projektowania instalacji: Odkurzacz centralny, PDF, AutoCAD

    Bo ma dużo funkcji, między innymi te które potrzebujesz. Pewnie masz rację ale grupowanie linii chyba jest dość skomplikowane z tego co mi się udaje znaleźć. Szukam więc prostszego programu po prostu wystarczy żeby na projekcie rysować swobodnie linie które będą wymiarowane zgodnie ze skalą i może ktoś zna coś takiego.

    Nauka Szkolnictwo   30 Maj 2022 14:57 Odpowiedzi: 3    Wyświetleń: 276
  • Projektor multimedialny - ciąg dalszy tematu

    No w takim wypadku jak opisujesz to tak. Ale taka mała rada. Zrób sobie jakąś a'la mechanike.. moze jakies rowki czy cuś.. tak zeby można było fresnal przestawić tez na pionowo.. bo potem są problemy.. no bo jak masz fresnal ustawiony do korekcji trapezu to jak kiedys zechcesz sobie przestawić projektor niżej.. to bedzie wielka przebudowa skrzyni.....

    DIY Konstrukcje   26 Lip 2005 21:25 Odpowiedzi: 305    Wyświetleń: 74908
  • Efekty laserowe i wykonanie projektora laserowego

    To nie jest źle z ta pamięcią. Myślałem, że to EPROM. Patrzałem na to zdjęcie gdzie jest pełno przewodów i na tej płytce gdzie jest radiator jest kość ze szkiełkiem na środku. :) Co do magnesów to dam ci jeszcze znać. Płytkę robiłeś w programie Eagle? Ciekawe czy ten prototyp zadziała? Oby, bo wygląda bardzo ciekawie i zapowiada się obiecująco. Ja dziś...

    Optoelektronika   25 Paź 2007 17:38 Odpowiedzi: 1068    Wyświetleń: 300488
  • Prawdziwy projektor multimedialny

    Trochę zaciekawił mnie ten Medion za 2000zł, ale nie mogę się nigdzie doszukać jego szczegółowych parametrów - takich jak technologia przetwornika (prawdopodobnie LCD, gdyż kontrast tylko 400:1) oraz rzeczywistej rozdzielczości przetwornika (podejrzewam, że za tą cenę otrzymamy 800x600). Obecnie większość firm produkujących projektory przeszło na efektywniejszą...

    DIY Konstrukcje   23 Sty 2013 12:08 Odpowiedzi: 174    Wyświetleń: 152199
  • PROJEKTOR POMOCY

    Witam nie stać mnie na to by sobie kupić ani zrobić projektor . Ale los się lekko do mnie uśmiechnął bo tydzień temu dostałem od znajomego projektor firmy Liesegang który znalazł na śmietniku , działał bez zażutu choć troche słabo świecił a teraz po minucie się wyłącza. Czy to koniec lampy na tej skali pokazuje że jescze na nią czas ale kto wie. Patrzałem...

    Projektory DLP i LCD   02 Gru 2005 22:14 Odpowiedzi: 1    Wyświetleń: 1275
  • PROJEKTOR POMOCY

    Witam nie stać mnie na to by sobie kupić ani zrobić projektor . Ale los się lekko do mnie uśmiechnął bo tydzień temu dostałem od znajomego projektor firmy Liesegang ddv1800 który znalazł na śmietniku , działał bez zażutu choć troche słabo świecił a teraz po minucie się wyłącza. Czy to koniec lampy na tej skali w menu pokazuje że jescze na nią czas ale...

    Projektory DLP i LCD   04 Sty 2006 16:26 Odpowiedzi: 3    Wyświetleń: 1885
  • Projektor multimedialny za małe pieniądze

    Witam Od kilku miesiecy czytam ten watek, w koncu postanowilem zbudowac cos takiego, mam juz matryce z venturera, zarowe, osprzet i caly czas zachodze w glowe dlaczego stosujecie za zarowa, miniaturowych rozmoarow wklesle lustro. Ok, ma to odbijac swiatlo. Jest to stosowane w rzutnikach do slajdow i sie sparwdza. Tez ok. Ale... W rzutnikach jest inna...

    DIY Konstrukcje   29 Sie 2014 08:54 Odpowiedzi: 2842    Wyświetleń: 738072
  • projektor laserowy 500mW 532nm na galvach 20kpps :)

    wszystko odbywa się mechanicznie :) każde odchylanie, bez znaczenia czy czytniki, czy efekty laserowe chyba że już wykonali jakieś super urządzenia, które potrafią odchylać strumień wiązki światła :) oczywiście w mobilnej wersji :lol: Jest to możliwe, ale nie na taką skale :) Moduł jest 350mW nominalnie, ale po ustabilizowaniu na upartego trzyma ~450mW-...

    DIY Konstrukcje   10 Sie 2009 00:20 Odpowiedzi: 77    Wyświetleń: 22079
  • Kopiarka dla projektanta i geodety

    Czytać ze zrozumieniem!!! Najważniejszą cechą o jaką człowiek pyta jest trzymanie skali czyli np: kładziemy rysunek A3 na kalce na szybe i robimy kopię potem składamy kopie i oryginał i mają się pokryć. Uwierzcie mi niewiele maszyn to potrafi. CANON na pewno nie !!! Miałem taki przypadek w biurze geodezyjnym. Można co prawda ustawić w kodach początek...

    Drukarki, ksero Szukam   27 Lip 2008 10:05 Odpowiedzi: 16    Wyświetleń: 2810