Do symulacji "timing requirements" nie muszą być spełnione, to jest ważne dopiero jak chcesz uruchomić projekt w fizycznym układzie FPGA. Wtedy musisz dodać plik *.sdc do projektu, gdzie poinformujesz syntezator jaka jest częstotliwość zegara(bardzo ważne), opóźnienia sygnałów na wyjściach/wejściach FPGA(ważne jeśli jesteś podłączony do interfejsu który...
Heja! Dokładne informacje o prockach znajdziesz na stronach producentów. Wiodący na świecie, którzy robią scalaki do prostych liczników energii to: Analog Devices, Sames, Atmel, Crystal Semiconductor/Cirrus Logic, TDK. Reszta robi: albo własne algorytmy na DSP/ASIC, albo to margines rynku ... Poszukaj na stronach ww. producentów, hasła: energy meter,...
Albo na przedpotopowych TTL-ach Prosty generator 10 hz na bramkach NAND jako zegar. Sygnał z zegara dzielony przez dwa spiete szeregowo liczniki 90/93 , te z kolei sterują wejsciami równoległymi dwuch spietych szeregowo rejestrów przesuwnych 198 ,mającymi po osiem wyjść równoległych Masz szesnaście kanałów ze zmieniającym się programem ,ustalanym stanem...
Czy sygnał clock jest potrzebny do pracy tego układu? Dodatkowy reset poza tym wynikającym z kombinacji zmiennych wejściowych nie jest mi potrzebny, usunę go więc z bench'a. do pracy TEGO ukladu raczej tak, dobra inzynierska praktyka wymaga takze zerowania; Znalazłem gdzieś w internecie, uzasadnienie było takie, że to się lepiej syntezuje. nie sadze...
To trudno będzie teraz stwierdzi gdzie jest błąd. Na początek sprawdź, jak masz podłączone wyprowadzenie BL (nóżka 4) dekodera dziesiątek, (o ile jest to dekoder 4511). I czy na pewno na wejściach J1-J4 licznika dziesiątek są (stale) podane zera. Dodano po 1 I zresztą zobacz mój układ. http://obrazki.elektroda.net/23_12648842... Na tym schemacie...
Wpadł mi taki pomysł żeby zrobić falownik za pomocą licznika i bramek logicznych. Wiem że to trochę bez sensu, ale ma jeden plus. Zmieniając potencjometrem częstotliwość jakiegoś NE555 mogę zmieniać częstotliwość sinusoidy. Ot taki prosty projekt który nie wymaga mikrokontrolera. Tylko pewnie uda sie w ten sposób zrobic jedynie 1 fazę ale co tam. Nie...
Gotowych projektów nie mogę znaleźć. Ja bym to widział tak: LCD 2x16 znaków podzielony na 8 części z których to jedna część tworzy 3 cyfry (max 999 min) przy każdej z części swich domyślnie po włączeniu zasilania są wszędzie zera i przekaźniki wyłączone, naciśnięcie swicha powoduje załączenie przekaźnika i ustawienie 15 min kolejne przyciśnięcie swicha...
Kolego TvWidget czy miałeś przyjemność używać tych czujników inode? Może ktoś inny ich używał? Jak one się sprawują w praktyce? Kolego dktr czy możemy się spodziewać, że opublikujesz swój projekt :?:
Można spróbować po prostu wrzucić któryś z małych uC - coś z rodziny MSP430 lub STM32? Większość ma liczniki chodzące do dziesiątek MHz, mogą pracować praktycznie bez elementów zewnętrznych, obudowy mogą być małe, plus jeśli trzeba to dodatkową funkcjonalność można samodzielnie zaprogramować.
Brakuje plików w projekcie: io.h signal.h interrupt.h Sprawdź scieżkę dostępu do tych plików nagłówkowych. Ew spójrz: [url=http://www.elektroda.pl/rtvforum/to...
https://obrazki.elektroda.pl/9753842300_... W tym materiale zebraliśmy informacje o rozwoju liczników, rodzajach i cechach liczników energii elektrycznej. Poruszamy też często wywoływany temat różnic we wskazaniach po wymianie elektromechanicznego licznika indukcyjnego na licznik elektroniczny. Poprzednio mieliśmy okazję zaprezentować...
Witam Wracam do sprawy kwarca łopatologicznie, najprostszy dzielnik częstotliwości dzieli przez 2, dokładając następny na jego wyjście mamy podział przez 4, dokładając następny mamy podział przez 8...... dokładając piętnasty mamy podział przez 32768. Jeśli na wejściu damy kwarc 32768 to po 15 dzielnikach mamy impulsy sekundowe. Drugim z typowych kwarców...
A nie chcesz samemu tego napisać? To jest prosty projekt, dobry do nauki. Po pierwsze musisz zdefiniować wejścia/wyjścia układu, na podstawie schematu: [syntax=vhdl] library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity praca1 is Port( Clk : in std_logic; EN : in std_logic; row : out std_logic_vector(7 downto 0) := "10000000" ); end entity praca1; [/syntax]...
W 51' jest pull up i otwarty kolektor, także można podłączyć bezpośrednio do kolektora Q4. Dławiki po prostu przetestuj metodą prób i błędów.
To było dawno, ale... Załączam na nowo wygenerowane Gerbery. Czy to pomogło?
Witam, jestem bardzo początkujący w tematach elektroniki... :P Muszę zrobić licznik od 2 do 6. Mam synchroniczny licznik 74160 oraz układ z nandami. Zrobiłem sobie bardzo prosty projekt takiego licznika, liczy jak trzeba w multisimie, ale mam problem z generatorem impulsów zegarowych. Czy są gotowe układy scalone z impulsami zegarowymi? Albo jak taki...
Bo mu nie powiedziałeś o moim pomyśle. Też bym wykreślił jak by mi student nie powiedział że te układy stosuje jedynie w celu konwersji ADC.
Nie sprawdzałem tych rozwiązań, lecz widziałem projekt licznika oparty o fotodiodę PIN, oraz o komorę jonową.
... albo zrób licznik energii z układem Samesa lub Analoga. W EdW był kiedyś był przykładowy projekt na scalaku Analoga. Prosty, licznik bezpośredni, pomiar energii czynnej. Pozdrawiam,
Coś na arduino. A po cholere ? Najprostrze ? Fotorezystor załączający oświetlenie domu, zbliżeniowy czujnik indukcyjny+ licznik impulsów jako licznik monet :D ......itd itp.... A czy mógłbyś mi podać jakiś schemat na przykład tego licznika monet ?
W miejscu gdzie zwierasz dwa wyjścia Q zastosuj druga bramkę (taka sama jak pierwsza) i jej wyście wepnij do pierwszej bramki. Jesli ma być w binarnym to jest ok.
Alternatywą dla 4059 jest kaskada dwóch liczników (dziesiętnych lub binarnych) w konfiguracji dzielnika częstotliwości, wtedy podział maksymalny będzie odpowiednio ÷100 lub ÷256. Autor tematu chyba raczej preferuje gotowe rozwiązanie, ale na marginesie zauważę, że użycie liczników pozwoliło by na odczyt aktualnej wartości, choć (byłoby to zliczanie...
(...) (P = 0). Uzyskany rezultat przedstawić w postaci dziesiętnej na wskaźniku 7-segmentowym. W rozwiązaniu wykorzystaj licznik synchroniczny 74160 oraz bramki logiczne. Zaobserwować działanie układu zarówno dla częstotliwości maksymalnej (F_max), jak i częstotliwości minimalnej (F_min) sygnału zegarowego. Wstępna ocena dostępnych informacji Analiza...
http://obrazki.elektroda.pl/1535972100_1... Jest to projekt zegara na układach TTL z budzikiem. Wstęp Zbudowałem go do szkoły, jako model dydaktyczny. Dosyć dawno temu to było, bo przed wakacjami w maju, jeszcze wtedy byłem w trzeciej klasie technikum, ale teraz dopiero zdecydowałem się go pokazać Fachowcom do oceny. Nauczyciele tego...
Sorki że zagmatwałem sprawę. Co do zastosowania licznika - niestety nie mogę się określić do jakich celów będzie on przeznaczony. Po prostu muszę zrobić projekt takiego licznika w PSpice oraz zestawienie kosztowe wszystkich elementów składowych. Co do generatora. W zasadzie można powiedzieć że wymieniony generator jest licznikiem, ponieważ na wejście...
Wszystko już mam gotowe...kwestia co na to prowadzący...Mam nadzieje,że nie będzie mu nic "za przeproszeniem odbijać". Wszystko mam już połączone, po części wyliczone. Licznik będzie wskazywać energię czynną ze wzorka podanego przez Pana Marka, a co do biernej to muszę to jeszcze przemyśleć jak to rozwiązać...Co prawda nie mam nic na temat biernej w...
Trochę mało precyzyjnie kolega zadał pytanie. Na co chcesz przerobić? Czy to ma być usprawnienie istniejącej płytki i dalej używanie jej jako licznika w malczanie czy po prostu wykorzystanie elementów z niej do jakiegoś własnego projektu?
Cześć, mam nadzieję, że zamieszczam post w odpowiednim dziale. Jeżeli nie, to proszę o przeniesienie. Chciałbym zbudować układ do mierzenia czasu reakcji. Nie jestem elektronikiem i chciałbym potraktować taki mini-projekt w kategoriach "wyzwania" i może wkręcenia się w coś więcej - tak, zgadza się - pewnie jest to banalnie proste, ale mam o tym małe...
Raczej względem czego.. Względem sprawnego licznika który będzie rozbebeszany i przerabiany na potrzeby projektu :)
Czy jest możliwość podesłania do kogoś tego procka w celu zaprogramowania jako licznik JABEL'a J-239? Jest :-) Ale lepiej napisz mi na priv swój adres a ja Ci wyślę gotowy nowy procek a ten sobie zostawisz bo koszt procesora jest mniejszy niż koszt wysyłki :P
J0=Q1 K0=\overline{Q1} J1=\overline{Q0} K1=Q0 J2=Q0*Q1*\overline{Q2}+Q2*\overline{Q1}+... K2=\overline{J2}
Czy ktoś widział projekt/schemat licznika na atmega8 z lcd i tubą SBM-20-1 ? [youtube]http://www.youtube.com/watch?v=... :D
Ok , dzięki za info. Dotyk będzie pojemnościowy albo wcale, rysik odpada. Jeżdżę zimą, w tym roku nawet przy -17 stopniach ale wtedy nie mam potrzeby go używać, po prostu start i jazda, bez przystanków aby nie marznąć. Zdecyduję się na wersję z GPS ale bez czujnika ciśnienia. Wysokość jest bardzo przydatna, szczególnie na fajnym maratonie, aby wiedzieć...
Uproszczenie układowe spowoduje zastosowanie specjalizowanych układów np.: ICM7250 - ustawiany licznik BCD - 0 do 99 ICM7260 - ustawiany licznik modulo 60 - od 0 do 59 ICM7217B lub C - ustawiany licznik góra/dół, od 0 do 5959, z obsługą wyświetlacza LED Szczególnie ciekawy wydaje się ICM7217 który jest kompletnym licznikiem z obsługą wyświetlacza LED....
Witam Chcę się nauczyć podstwa języka Verliog i VHDL. Poszukuje jakiś darmowych, prostych najlepiej w wersji free open source kompilatorów tych języków. Wiem, że jest np: Active-HDL, Xilininx i Altera ale są one dla mnie zbyt potęzne jak na początek. Zależy mi na podstawach (projekt rejestru, licznika itp). Pozdrawiam
Niektóre liczniki pozwalają wpisać obwód w milimetrach, więc nie ma z nimi problemu. Wpisujesz 150 i gotowe. Jeśli licznik pozwala tylko wybrać gotową średnicę, to trzeba zmienić licznik, albo projekt.
Nie miałem takiej możliwości. Dopiero jak przyszedł rachunek, to się dowiedziałem. Elektromo https://obrazki.elektroda.pl/6884018500_... nter PGE przyjechał i po prostu wymienił na nowy bez mojej wiedzy, oczywiście, bo takie mają prawo. PGE Dystrybucja wysłała stan licznika do PGE Obrót. PGE Obrót poprosił, aby PGE Dystrybucja sprawdziła...
Witam, czekam na kolejne wyniki obserwacji dokładności pomiaru czasu ;) Domyślam się, że program używa przerwań od któregoś z liczników, możesz coś więcej napisać o programie? Chciał bym napisać go samodzielnie w asm.
1. Funkcję konwersji do hex-a możnaby zrobić w oparciu o LUTa (stablicowane wartości). Poniżej prosty przykład na PC-ta dla testów ale łatwo zaadoptować do arduino: [syntax=cpp]#include <iostream> using namespace std; void tohex(unsigned long val, char* buf) { const char* const lut16 = "0123456789ABCDEF"; // tablicę można przenieś do FLASH for...
Jak nie może być licznik 7490 to co stoi na przeszkodzie, aby użyć innego licznika,np. 7492, 7493, 74191, 74192 lub wykonać licznik na przerzutnikach, bo licznik w takim układzie musi być. Pozdrawiam wszystkich jjanek
Prosty, genialny i zasługujący na pudło projekt. Jak dla mnie Nr1 wśród zamieszonych do tej pory :P
Czesc,chciałbym Was prosić o analizę i ewentulaną korekcję błędów mojego nowego projektu. Bedzie to prosty stoper na 99 sekund na układach cyfrowych. Razem z listem załączam narysowany juz schemat ideowy układu. Układ ma działać następująco. Układ 4047 pracuje w roli multiwibratora w trybie free run(bez dodatkowego wyzwalnia) i generuje przebieg prostokątny...
Witam 4017 do tego celu się nie nadaje zbytnio ponieważ jest to licznik dziesiętny i nie wykorzystali byśmy wszystkich możliwości (czyt. wejść) multiplexera... trzeba zastosować 3-bitowy licznik binarny, a do tego celu znakomicie nadaje się 74xx93... projekt licznika sam sprawdzałem bo używam go w sterowniku silników krokowych, poniżej schemat jak to...
Witam, Budował ktoś, ma zbudowany któryś z poniższych liczników ? Bardzo byłbym wdzięczny gdyby ktoś mi wysłał projekt, któregoś z poniższych liczników. https://obrazki.elektroda.pl/1645117000_...
Witam, projekt bardzo użyteczny. Można by tez bylo zrobić tak z byszką na kulkę. Ocena: 4/6 PZDR
Sprawa prosta multiwibrator sterujący przekaźnikiem clk.
Witam Mam do zaprojektowania licznik liczący modulo 73 na licznikach typu 74163. Wykonałem wstępny projekt w Quartusie ale nie liczy on poprawnie. Nie wiem czy wszystko dobrze podłączyłem. Proszę o pomoc. Nie wiem też jak wykonać reset na takim liczniku. Wykrywam sekwencje 1001001 i bramką nand podłączam do reset (clrn), ale licznik się nie resetuje....
Mylisz się, Qa licznika na A wyświetlacza i tak po kolei aż Qd licznika na D wyświetlacza.
problem dla mnie jest taki ze do konca nie znam zasady programwania tych licznikow i timerow w PLC wiec dlatego tu was prosze o pomoc. Potrzebne jest mi to do projektu, czesc teorytyczna mam, czesc z przykladami mi brak:(
Przede wszystkim widać , że troszkę na oślep walczysz z prawidłową filtracją zasilania więc może to ci pomoże? http://mirekk36.blogspot.com/2012/04/mik... poczytaj, popatrz i zobacz co z czym jest związane i za co odpowiada .... używasz niewłaściwych kondensatorów ... do filtracji. To jedno... a DRUGIE .... ja bym na...
wystarczy wyświetlacz (na 2 cyfry, czyli 14 segmentowy), jakiś czujnik magnetyczny, 2 guziki (jeden ON/OFF, drugi zerujący licznik) i zasilanie. Nie wystarczy. Zapomniał o liczniku.
Dodam od siebie że taki projekt już był zlecany w tym dziale (zbliżone wymagania) i nie doszedł do skutku: http://www.elektroda.pl/rtvforum/topic19... Bo po prostu jest nierealny w tej cenie (w Polsce, w Chinach to co innego). Gość chciał za 100zł i było nierealne a Ty chcesz za 30zł. Nawet sama elektronika się w tym nie wyrobi bo wspomniałeś...
nadal nie moge znaleźć odpowiedniego układu scalonego. Potrzebny jest prosty licznik binarny. Nawet jeśli znajde w necie jakiś, to nie mam zielonego pojecia, czy jest on dostepny w sklepach. Wymagania są bardzo niewielkie. Wystarczy, aby zliczał do 8 impulsów i żeby wynik był podawany w postaci binarnej. Przydałoby sie, zeby był mały (DIL8, DIL10)...
Ja wcześniej napisałem ze jestem elektronikiem hobbystą W takim razie rozważ przestudiowanie https://pl.aliexpress.com/w/wholesale-3%... Serio. Szkoda czasu na projekt, którego wykonanie będzie droższe (a jakość pomiaru niekoniecznie dobra) niż to, co można po prostu kupić. Same przekładniki to ok. 150zł, a za 166zł masz...
albo jakaś aplikacja 4017 :D - licznik 0-9 bardzo prostą zabawkę można zrobić. Mam projekt, ale wymaga on lekkiego dopracowania :D jak coś to gg :D
Coś takiego? https://forbot.pl/forum/topic/24322-licz...
Dodam tylko, że nie będzie ten projekt w aucie, tytuł wprowadza w błąd, ładowarka będzie używana tylko do ładowania telefonu. Jest to po prostu zegarek z wykorzystaniem licznika z auta, a ta kontrolka to moje widzimisię, bo nie chcę się rozstawać z pracą nad tym licznikiem. Jako tako już rozumiem, co trzeba zrobić, aby uzyskać porządny efekt. Będę się...
Dlaczego reset dales w ( ). Jako () rozumiem jakąś akcję. Ty podłącz to po prostu do wejścia RESET swojego licznika (zamiast I0.4) Jako ciekawostkę napisze że w różnych sterownikach różnie pochodzi się do pewnych spraw. I tak np. w sterownikach AB żeby zresetować licznik trzeba użyć f-cji (RES), którą wywołuje się niezależnie od samego licznika.
Witam, mam problem z zerowaniem licznika. Licznik jest zerowany kiedy na wejściu zerowania jest 0. Licznik ten ma być modulo 71. Proszę o pomoc. Niestety nie chce wyjść poprawnie, a nie wiem jaki błąd został popełniony. https://obrazki.elektroda.pl/5078506900_... https://obrazki.elektroda.pl/7460296200_...
http://obrazki.elektroda.net/72_11732896... Witam. Długo mi zeszło zanim wziąłem sie za zrobienie tego zegarka. Chodził mi on po głowie od ponad 2 miesięcy, więc w tym czasie pomału kupowałem elementy. Zacząłem od lampek Nixie, kupiłem 6 sztuk na allegro. Potem ze szkoły wziąłem kolejne 6 lamp Nixie ze starych miernikow. No i zaczęły sie schodki....
http://obrazki.elektroda.net/11_12667578... Wszystko powinno być tak proste, jak to możliwe, ale nie uproszczone – Albert Einstein. Taka idea przyświecała przy projektowaniu tego układu, żeby zrobić ciekawą i funkcjonalną rzecz przy zastosowaniu możliwie najprostszych rozwiązań. Urządzenie można zastosować jako miernik od 1/4Hz do 100MHz,...
Te układy to w kolejności: bramka Schimtta NAND, przerzutnik Schmitta i licznik dekadowy. A LM358 to wzmacniacz operacyjny, ale z tego co pamiętam nie jest to układ wykonany w technice CMOS.
Płytkę trawiłeś sam czy zlecałeś wykonanie ?
Jest to schemat i przykładowy projekt płytki prostego licznika geigera-müllera do pomiaru promieniowania beta i gamma. W układzie możemy zastosować tubę pomiarową PHILIPS'a lub jakąś starą z demobilu za kilka złotych np. kupioną na allegro (ja własnie tam kupiłem swoją). Układ może być przydatny np podczas wycieczki w górach Sowich , lub w okolicach...
Mam pytanie. Jak zastąpić sygnalizację akustyczną pracy detektora Geigera, sygnalizacją cichą zrealizowaną za pomocą silniczka wibracyjnego? Czy ktoś może przedstawić jakiś prosty projekt takiej sygnalizacji? Detektor do którego chciałbym ją zastosować jest zasilany napięciem 9V.
https://obrazki.elektroda.pl/6589081200_... Ceny energii w ostatnim czasie mocno poszły w górę a w ciągu ostatnich kilku lat podwyżki choć minimalne okazały się znaczące. Rządowe programy promujące fotowoltaikę stają się coraz mniej korzystne dla osób które w nie zainwestowały i w zasadzie nikt nie wie jak to dalej się potoczy. Od...
W VHDL tak można napisać: signal FF1 : std_logic; signal FF2 : std_logic; signal FF3 : std_logic; signal FF4 : std_logic; signal FF5 : std_logic; signal FF6 : std_logic; process(clk, quada, quadb) begin if rising_edge(clk) then FF1 <= quada; FF2 <= FF1; FF3 <= FF2; FF4 <= quadb; FF5 <= FF4; FF6...
https://obrazki.elektroda.pl/3566138000_... Dwa dni temu na hacker news pojawił się ciekawy Klient Snapcast Projekt polega na stworzeniu klienta Snapcast, który umożliwia synchronizację odtwarzania audio w wielu pomieszczeniach. Serwer NTP Stratum-1 Serwer NTP z czasem pobieranym z GPS, wyświetlający czas z niezwykłą precyzją. Tablice...
Alternator posiada wyjście napięcia zmiennego, ono jest wykorzystywane do podłączeń obrotomierza elektronicznego. Znając obroty oraz przełożenie (bieg) można jakoś wyskalować prędkość. Rozszerzenie zakresu możliwe jest do zrobienia np. rezystor, dzielnik napięcia, jakiś prosty układ elektron.. Dlaczego przestaje działać oryginalny licznik!
Witam Próbuję napisać prosty program do odczytu danych z licznika LE-03M (http://www.fif.com.pl/produkt/1543/1203... Stworzyłem projekt i dodałem bloczek w Fupli - Init Client RS, ustawiając parametry komunikacji: Channel: Channel 1 Serial port: Port 1 Line type: RS485 Transmission speed: 9600 bps Bits-Parity-Stop: 8-N-1 Protocol: Modbus/RTU Timeout[s]:...
W numerach 4/1997 i 5/2002 Elektroniki dla Wszystkich są projekty z użyciem dużych wyświetlaczy zbudowanych z LED-ów.
A potrafisz na 3 przerzutnikach typu D zaprojektować licznik synchroniczny modulo 8?
Witam, W takim razie chyba coś masz namieszane. Sprawdź jeszcze raz wszystko. Ewentulanie w nowym projekcie dodaj tylko licznik i sprawdź działanie. A i reset musi restować ;) Pozdrawiam,
To nie musi być coś opartego na mikroprocesorze, jakiś prosty projekt (którego pewnie nawet nie zrealizujemy) jest to technikum więc nic skomplikowanego :) Skłonię się chyba do licznika z dekoderem i wyświetlaczem numerycznym. Ma ktoś pomysł jak to podłączyć ?
Witam Jeśli korzystasz z „Eagle” w wersji „free”, to takie rozciągnięcie obrysu nic ci nie da, gdyż w tej wersji można stworzyć płytkę maksymalnie 100 x 80 mm i poza tym obrysem nie ułożysz już żadnych elementów. W tej wersji trzeba, po prostu inaczej ułożyć elementy aby zmieściły się na takiej płytce. Pozdrawiam.
Szukam strony z projektem licznika rowerowego mialem gdziesz linka ale mi wsiakl moze ktos mnie poratuje (wim ze plik do projektu nazwyal sie licznik.asm i na tej stronie bylo sporo projektow strona polska)
Podłączyłem (mój moduł to wt32-eth01 v1.4) tak jak jest w bibliotece (teraz jest już poprawiona) //CC1101 config #define GDO0 14 #define GDO2 35 #define SPI_SCK 12 #define SPI_MISO 4 #define SPI_MOSI 15 #define SPI_SS 2 Wgrałem po prostu cały projekt skompilowany w platformIO w config.hpp zmieniając na moją płytkę: // Include board config #include "config_wt32-eth01_v1.4.hpp"
Może i na dodatek warto by się zastanowić czy PEN powinien być brany z szyny PEN w ZK czy z zacisku licznika bezpośrednio? Małe zmiany. Temat należy do "drażliwych" na tym forum oraz pokrewnych. Za druga opcją przemawia: - niższy koszt WLZ (4żyły) - "zasygnalizowanie" nieciągłości PEN a tym samym skutecznego SWZ przez asymetrię napięć Za pierwszą:...
Witam !! Masz rację - CMOS są lepsze - dlatego napisałem np 74193 ( TTL) lub 40193 ( CMOS ) . Pewnie dlatego że mam takie w pudełku :D . Układ ( co za straszne słowo :D ) jest dość prosty i można go zrobić ( na próbę ) na płytce uniwersalnej - przy okazji zweryfikować prawidłowość działania . A jak " ruszy " to zrobić projekt PCB . pozdrawiam !!
... sterownik reklamy świetlnej na EPROMie nie jest moim pomysłem. Kiedyś wiele lat temu, firma NORD-ELEKTRONIK miała w swojej ofercie takie KITY do samodzielnego montażu chyba pod nazwą "Sterownik EPROM", czy coś w tym rodzaju. Nie wiem, czy ma je teraz. Miałem te zestawy w swoim sklepie, jak jeszcze był popyt na kity (teraz kompletny KIT - nikt juz...
Zaprojektuj licznik synchroniczny na przerzutnikach JK dzialajacy wedlug tego rysunku: http://img464.imageshack.us/my.php?image...
Mi jedna Karynka wytłumaczyła to w kilku prostych słowach - bierzerz ch*ju kasę, to bierz i spierdalaj. A mąż jest w delegacji i gówno cię obchodzi co on robi. A skrzynka ma być na dworze i to już... na wczoraj. Mocne . A ,,skrzynka,, wylądowała na dworze ?
Temat zakończony. Efekt jak w temacie https://www.elektroda.pl/rtvforum/viewto... Do konwertera modbus<-->Wifi Elfin EW11 podłączony mam inverter i dodatkowy dwukierunkowy licznik SDM630. W pythonie napisałem wtyczkę dla domoticza, wyświetam i rejestruje tylko interesujące mnie parametry. Z oryginalnego loggera...
http://obrazki.elektroda.pl/2407643000_1... Witam! Dziś mamy Walentynki wiec nawiązując do tematu przedstawiam mini projekt migającego serduszka. Jest to prosty układ oparty na liczniku dziesiętnym 4017, który steruje 7-ma sekcjami diod LED ułożonymi w kształt serduszka. Siódme wyjście dołączone jest do wejścia reset powodując cykliczna prace...
yego666 z całym szacunkiem, ale po co strzelać z armaty do muchy. To co proponujesz, to mozna zrobić na dwóch przerzutnikach i liczniku dwukierunkowym oraz kilku elementach typu źródło swiatła skupionego i jakiś fotoczujnik oraz triak. Pozdrawiam wszystkich jjanek
Jeśli masz dostęp do książek jakie są z DSM-51 to możesz wykorzystać projekt przystawki licznika przedmiotów świetnie sie do tego nadaje. Całość działa podobnie jak mysz komputerowa. Pozdrawiam Matejkos
http://obrazki.elektroda.pl/7062776700_1... Witam, Chcę przedstawić licznik Geigera na tubie STS-5. a) Wstęp/założenia: Swój licznik postanowiłem zrobić gdy przeczytałem w EDW o liczniku Geigera na USB byłem zdziwiony że tak tanio można zrobić tak ciekawe urządzenie . Po krótkich poszukiwaniach w internecie znalazłem przetwornicę GM...
Od dziecka intrygowało mnie to "dziwne kółeczko" z czarnym paskiem w liczniku, które kręciło się szybciej wtedy, gdy wzrastał w domu pobór energii elektrycznej. Wraz ze zwiększaniem się mojej świadomości technicznej, tajemnica "kółeczka" (a później czerwonej diody) stawała się bardziej oczywista i mniej tajemnicza, by wreszcie wraz z nastaniem epoki...
...czy masz może jakiś ładny przykładowy projekt dla CM0? Zamieszczam swój przykład na płytkę Discovery F0. Makefile Freddiego, linker i startup zaczerpnięty z przykładowych projektów ze strony ST. W programie skonfigurowany GPIOC, licznik TIM3 i przetwornik ADC. Projekt jest pod CodeBlocks. Miałem jeszcze ogarnąć UART i debugowanie za pomocą gdb ale...
Dzień dobry - przygód z moim budynkiem mieszkalnym ciąg dalszy. Mam 1 główny licznik zimnej wody, 1 główny licznik gazu, 1 licznik zimnej wody wpływającej do systemu grzewczego (puls), 82 liczniki ciepłej wody zlokalizowane w mieszkaniach (M-BUS), oraz 82 liczniki zimnej wody zlokalizowane w pionach (pulsowe, ale bez okablowania, w liczbie od 5 do 10...
Chciałbym podziękować wszystkim za pomoc przy liczniku, projekt uważam za skończony. Dla ciekawych, Zastosowałem filtr ze starej listwy przepięciowej (dławik i warystory ) przed zasilaniem przekaźników i pomogło dzięki temu przekaźnik od licznika przestał wariować. Już w temacie nie będę głowy zawracał. Pozdrawiam.
Keil dostarcza przykłady wraz z kompilatorem. Jest ich całkiem sporo i całkiem porządne. Od podstawowych do zaawansowanych. Dodatkowo na stronie www.keil.com znajdziesz około setki róznego rodzaju bardzo prostych, wręcz elementarnych projektów. Z książek polecam "taką zieloną". Tytuł jej brzmi: "Programowanie mikrokontrolerów z serii 51 w C".
Bardzo fajny i prosty układ , na procesorze chyba jeszcze nikomu nie udało się czegoś podobnego zbudować , szukałem przez kilka dni w internecie i nic nawet podobnego nie istnieje . Najwięcej problemu mają z traceniem impulsów gdy pochodzą z czujników , a te układy są niezawodne . Chętnie zobaczyłbym prosty projekt jak ten na arduino o tych samych możliwościach...
Witam! A może prowizoryczny miernik częstotliwości? Sygnał mierzony podpinasz na wejście licznika. Wyjścia licznika do przerzutnika synchronicznego, oraz dalej do dekodera kodu dwójkowego na 7-seg i do wyświetlaczy 7-seg. Oddzielnie generator (np. 1Hz dla uproszczenia konstrukcji), który co 1s. wpisywałby wartość z licznika do przerzutników i zerował...
Witam Było napisane "nie może być na mikroprocesorze", więc go nie ma. Na buforach trójstanowych jest źle, skąd ja mogę wiedzieć co można a co nie. Jestem praktykiem i podchodzę do elektroniki praktycznie, nie interesują mnie schematy układów cyfrowych które są rozbite na tranzystory. Tabele prawd i inne takie rzeczy są do gimnastykowania umysłu a ja...
mógłbyś jeszcze raz wrzucić ten projekt? :)
Jak to jest z rozwojem KiCad-a? Biblioteki - gotowce, w sumie nie problem, zwłaszcza, gdy korporacja i tak tworzy własne (z różnych względów nie korzysta się z gotowców) Pytanie raczej jak z rozwojem i wspaciem? Z tego, co widzę, ostatnia wersja jest z połowy 2013 roku KiCad_stable-2013.07.07-BZR4022_Win_full... ? Wersja z gałęzi stable to...
Tutaj https://github.com/Assetto147/MIKRO_WYSW... umieściłeś wywołania funkcji, tymczasem funkcje można wywoływać jedynie z innych funkcji, a nie "globalnie". Myślę, że chciałeś te wywołania umieścić gdzieś w main(), choć nie jestem wcale pewny, że są one do czegokolwiek potrzebne.
Temat trochę umarł, a ja myślę, że nie powinnyśmy się tak łatwo poddawać. Wkur....ło mnie ME z projektem zmian w ustawie o OZE, więc trochę czasu poświeciłem na przemyślenia. Jeden z kolegów naprowadził mnie na punkt zaczepienia, a ponieważ dopiero niedawno ENEA założyła mi licznik dwukierunkowy, więc mogłem usiąść i napisać im pisemko. Proponuję aby...
Masz prądy błądzące (gdzieś) i ci pokazuje co chce :) zresztą oparty on jest na czujniku halla więc .... No ale twój jest tańszy :) Jak pisałem poprzednio mi zależy na jakości i dokładności to ma być urządzenie które mierzy dobrze, zawsze i bezawaryjnie Niestety połączenie tych cech wymaga troszkę kasy i włożonego czasu pracy Co do tego projektu nie...
licznik projekt projekt licznik automatyk prosty projekt
simson ładowania access filtrowanie formularz daewoo ustawienia serwisowe
przejazd kolejowy marantz melody
Błąd C:13:071 w wieży Sony - diagnoza i naprawa Flespi Password Length Requirements and Solutions