prosty licznik projekt

Znaleziono około 2883 wyników dla: prosty licznik projekt
  • EPM240 - pierwszy projekt licznika 8-bitowego w Quartus

    Do symulacji "timing requirements" nie muszą być spełnione, to jest ważne dopiero jak chcesz uruchomić projekt w fizycznym układzie FPGA. Wtedy musisz dodać plik *.sdc do projektu, gdzie poinformujesz syntezator jaka jest częstotliwość zegara(bardzo ważne), opóźnienia sygnałów na wyjściach/wejściach FPGA(ważne jeśli jesteś podłączony do interfejsu który...

    Programowalne układy logiczne   28 Mar 2017 21:08 Odpowiedzi: 5    Wyświetleń: 2991
  • REKLAMA
  • Projekty liczników energii na mikrokontrolerach - schematy i informacje

    Heja! Dokładne informacje o prockach znajdziesz na stronach producentów. Wiodący na świecie, którzy robią scalaki do prostych liczników energii to: Analog Devices, Sames, Atmel, Crystal Semiconductor/Cirrus Logic, TDK. Reszta robi: albo własne algorytmy na DSP/ASIC, albo to margines rynku ... Poszukaj na stronach ww. producentów, hasła: energy meter,...

    Elektryka Dla Każdego   30 Sty 2014 17:55 Odpowiedzi: 18    Wyświetleń: 13385
  • Nie znalazłeś odpowiedzi? Zadaj pytanie Sztucznej Inteligencji

    Czekaj (1min)...
  • Projektowanie Układów Elektronicznych > Optoelektronika projekt oświetlenie w

    Albo na przedpotopowych TTL-ach Prosty generator 10 hz na bramkach NAND jako zegar. Sygnał z zegara dzielony przez dwa spiete szeregowo liczniki 90/93 , te z kolei sterują wejsciami równoległymi dwuch spietych szeregowo rejestrów przesuwnych 198 ,mającymi po osiem wyjść równoległych Masz szesnaście kanałów ze zmieniającym się programem ,ustalanym stanem...

    Początkujący Elektronicy   29 Lip 2015 20:50 Odpowiedzi: 3    Wyświetleń: 792
  • REKLAMA
  • [cpld][vhdl] - 1szy projekt - Licznik do adresowania pamięci ROM

    Czy sygnał clock jest potrzebny do pracy tego układu? Dodatkowy reset poza tym wynikającym z kombinacji zmiennych wejściowych nie jest mi potrzebny, usunę go więc z bench'a. do pracy TEGO ukladu raczej tak, dobra inzynierska praktyka wymaga takze zerowania; Znalazłem gdzieś w internecie, uzasadnienie było takie, że to się lepiej syntezuje. nie sadze...

    Programowalne układy logiczne   16 Lis 2015 23:55 Odpowiedzi: 7    Wyświetleń: 2760
  • Problem z zerowaniem licznika CD4029 przy projekcie zegarka

    To trudno będzie teraz stwierdzi gdzie jest błąd. Na początek sprawdź, jak masz podłączone wyprowadzenie BL (nóżka 4) dekodera dziesiątek, (o ile jest to dekoder 4511). I czy na pewno na wejściach J1-J4 licznika dziesiątek są (stale) podane zera. Dodano po 1 I zresztą zobacz mój układ. http://obrazki.elektroda.net/23_12648842... Na tym schemacie...

    Projektowanie Układów   30 Sty 2010 22:13 Odpowiedzi: 5    Wyświetleń: 2731
  • Falownik na bramkach logicznych i liczniku - jak generować sygnał bez mikrokontrolera?

    Wpadł mi taki pomysł żeby zrobić falownik za pomocą licznika i bramek logicznych. Wiem że to trochę bez sensu, ale ma jeden plus. Zmieniając potencjometrem częstotliwość jakiegoś NE555 mogę zmieniać częstotliwość sinusoidy. Ot taki prosty projekt który nie wymaga mikrokontrolera. Tylko pewnie uda sie w ten sposób zrobic jedynie 1 fazę ale co tam. Nie...

    Projektowanie Układów   15 Sty 2018 10:54 Odpowiedzi: 5    Wyświetleń: 612
  • REKLAMA
  • Gotowy projekt licznika czasu pracy na 8 stanowisk z przekaźnikiem?

    Gotowych projektów nie mogę znaleźć. Ja bym to widział tak: LCD 2x16 znaków podzielony na 8 części z których to jedna część tworzy 3 cyfry (max 999 min) przy każdej z części swich domyślnie po włączeniu zasilania są wszędzie zera i przekaźniki wyłączone, naciśnięcie swicha powoduje załączenie przekaźnika i ustawienie 15 min kolejne przyciśnięcie swicha...

    Arduino   16 Gru 2017 16:58 Odpowiedzi: 11    Wyświetleń: 1068
  • Prosty licznik zużytej energii elektrycznej

    Kolego TvWidget czy miałeś przyjemność używać tych czujników inode? Może ktoś inny ich używał? Jak one się sprawują w praktyce? Kolego dktr czy możemy się spodziewać, że opublikujesz swój projekt :?:

    DIY Zagranica   17 Wrz 2015 20:07 Odpowiedzi: 29    Wyświetleń: 21402
  • Licznik binarny z szyną danych I2C/SPI do projektu z uC - poszukiwania

    Można spróbować po prostu wrzucić któryś z małych uC - coś z rodziny MSP430 lub STM32? Większość ma liczniki chodzące do dziesiątek MHz, mogą pracować praktycznie bez elementów zewnętrznych, obudowy mogą być małe, plus jeśli trzeba to dodatkową funkcjonalność można samodzielnie zaprogramować.

    DSP i Transmisja   25 Lis 2013 12:43 Odpowiedzi: 5    Wyświetleń: 2262
  • [WinAVR] WinAVR: Błędy kompilacji projektu licznika częstotliwości w C

    Brakuje plików w projekcie: io.h signal.h interrupt.h Sprawdź scieżkę dostępu do tych plików nagłówkowych. Ew spójrz: [url=http://www.elektroda.pl/rtvforum/to...

    Mikrokontrolery AVR   03 Mar 2011 07:44 Odpowiedzi: 7    Wyświetleń: 3591
  • REKLAMA
  • Jakie są rodzaje liczników energii elektrycznej - liczniki elektroniczne z F&FSponsorowany

    https://obrazki.elektroda.pl/9753842300_... W tym materiale zebraliśmy informacje o rozwoju liczników, rodzajach i cechach liczników energii elektrycznej. Poruszamy też często wywoływany temat różnic we wskazaniach po wymianie elektromechanicznego licznika indukcyjnego na licznik elektroniczny. Poprzednio mieliśmy okazję zaprezentować...

    Artykuły   26 Maj 2025 08:49 Odpowiedzi: 8    Wyświetleń: 2424
  • Jakie elementy wybrać do projektu monitora licznika elektrycznego?

    Witam Wracam do sprawy kwarca łopatologicznie, najprostszy dzielnik częstotliwości dzieli przez 2, dokładając następny na jego wyjście mamy podział przez 4, dokładając następny mamy podział przez 8...... dokładając piętnasty mamy podział przez 32768. Jeśli na wejściu damy kwarc 32768 to po 15 dzielnikach mamy impulsy sekundowe. Drugim z typowych kwarców...

    Mikrokontrolery   30 Mar 2010 02:00 Odpowiedzi: 11    Wyświetleń: 3029
  • Jak zacząć projekt w VHDL? - Etapy tworzenia konwertera

    A nie chcesz samemu tego napisać? To jest prosty projekt, dobry do nauki. Po pierwsze musisz zdefiniować wejścia/wyjścia układu, na podstawie schematu: [syntax=vhdl] library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity praca1 is Port( Clk : in std_logic; EN : in std_logic; row : out std_logic_vector(7 downto 0) := "10000000" ); end entity praca1; [/syntax]...

    Programowalne układy logiczne   09 Lut 2021 17:50 Odpowiedzi: 5    Wyświetleń: 714
  • Projekt licznika Geigera-Müllera z wyświetlaczem do pomiaru promieniowania gamma

    W 51' jest pull up i otwarty kolektor, także można podłączyć bezpośrednio do kolektora Q4. Dławiki po prostu przetestuj metodą prób i błędów.

    Inne Serwis   17 Lip 2009 08:34 Odpowiedzi: 63    Wyświetleń: 51962
  • PROTEL 99 SE - Generowanie plików Gerber z edytowanymi projektami licznika częstotliwości AVT3275

    To było dawno, ale... Załączam na nowo wygenerowane Gerbery. Czy to pomogło?

    Pomoc w PCB   01 Mar 2021 23:49 Odpowiedzi: 5    Wyświetleń: 948
  • Jak zrobić generator impulsów zegarowych do licznika 74160?

    Witam, jestem bardzo początkujący w tematach elektroniki... :P Muszę zrobić licznik od 2 do 6. Mam synchroniczny licznik 74160 oraz układ z nandami. Zrobiłem sobie bardzo prosty projekt takiego licznika, liczy jak trzeba w multisimie, ale mam problem z generatorem impulsów zegarowych. Czy są gotowe układy scalone z impulsami zegarowymi? Albo jak taki...

    Początkujący Naprawy   06 Cze 2013 13:19 Odpowiedzi: 2    Wyświetleń: 1584
  • Projekt licznika 3-fazowego z mikroprocesorem '51 i LCD 6-pozycyjnym - pytania

    Bo mu nie powiedziałeś o moim pomyśle. Też bym wykreślił jak by mi student nie powiedział że te układy stosuje jedynie w celu konwersji ADC.

    Mikrokontrolery   10 Kwi 2010 13:30 Odpowiedzi: 21    Wyświetleń: 5462
  • Prosty licznik Geigera z głośnikiem lub diodami LED - schemat i opis po polsku

    Nie sprawdzałem tych rozwiązań, lecz widziałem projekt licznika oparty o fotodiodę PIN, oraz o komorę jonową.

    Projektowanie Układów   28 Wrz 2010 19:56 Odpowiedzi: 23    Wyświetleń: 12392
  • Jak zbudować miernik zużycia energii z procesorem AT89C2051?

    ... albo zrób licznik energii z układem Samesa lub Analoga. W EdW był kiedyś był przykładowy projekt na scalaku Analoga. Prosty, licznik bezpośredni, pomiar energii czynnej. Pozdrawiam,

    Inne Serwis   05 Lip 2004 14:25 Odpowiedzi: 8    Wyświetleń: 2176
  • Jaki prosty projekt z automatyki wykonać i od czego zacząć?

    Coś na arduino. A po cholere ? Najprostrze ? Fotorezystor załączający oświetlenie domu, zbliżeniowy czujnik indukcyjny+ licznik impulsów jako licznik monet :D ......itd itp.... A czy mógłbyś mi podać jakiś schemat na przykład tego licznika monet ?

    Nauka Elektroniki, Teoria i Laborki   04 Lut 2016 11:10 Odpowiedzi: 12    Wyświetleń: 1077
  • Czy mój projekt asynchronicznego licznika mod22 z JK jest poprawny?

    W miejscu gdzie zwierasz dwa wyjścia Q zastosuj druga bramkę (taka sama jak pierwsza) i jej wyście wepnij do pierwszej bramki. Jesli ma być w binarnym to jest ok.

    Początkujący Elektronicy   02 Sty 2009 09:30 Odpowiedzi: 4    Wyświetleń: 1074
  • Jak zbudować programowalny licznik impulsów 12 V z resetem i sygnałem na przekaźnik?

    Alternatywą dla 4059 jest kaskada dwóch liczników (dziesiętnych lub binarnych) w konfiguracji dzielnika częstotliwości, wtedy podział maksymalny będzie odpowiednio ÷100 lub ÷256. Autor tematu chyba raczej preferuje gotowe rozwiązanie, ale na marginesie zauważę, że użycie liczników pozwoliło by na odczyt aktualnej wartości, choć (byłoby to zliczanie...

    Początkujący Elektronicy   19 Kwi 2016 21:34 Odpowiedzi: 18    Wyświetleń: 2295
  • Projekt układu elektronicznej kostki do gry z licznikiem 74160 i wyświetlaczem 7-seg

    (...) (P = 0). Uzyskany rezultat przedstawić w postaci dziesiętnej na wskaźniku 7-segmentowym. W rozwiązaniu wykorzystaj licznik synchroniczny 74160 oraz bramki logiczne. Zaobserwować działanie układu zarówno dla częstotliwości maksymalnej (F_max), jak i częstotliwości minimalnej (F_min) sygnału zegarowego. Wstępna ocena dostępnych informacji Analiza...

    Początkujący Elektronicy   11 Lis 2024 22:01 Odpowiedzi: 1    Wyświetleń: 147
  • Projekt zegara TTL z budzikiem

    http://obrazki.elektroda.pl/1535972100_1... Jest to projekt zegara na układach TTL z budzikiem. Wstęp Zbudowałem go do szkoły, jako model dydaktyczny. Dosyć dawno temu to było, bo przed wakacjami w maju, jeszcze wtedy byłem w trzeciej klasie technikum, ale teraz dopiero zdecydowałem się go pokazać Fachowcom do oceny. Nauczyciele tego...

    DIY Konstrukcje   28 Wrz 2011 23:14 Odpowiedzi: 17    Wyświetleń: 18093
  • Jak rozumieć 8-bit w asynchronicznym liczniku programowalnym?

    Sorki że zagmatwałem sprawę. Co do zastosowania licznika - niestety nie mogę się określić do jakich celów będzie on przeznaczony. Po prostu muszę zrobić projekt takiego licznika w PSpice oraz zestawienie kosztowe wszystkich elementów składowych. Co do generatora. W zasadzie można powiedzieć że wymieniony generator jest licznikiem, ponieważ na wejście...

    Początkujący Elektronicy   12 Lut 2007 21:42 Odpowiedzi: 20    Wyświetleń: 4244
  • Projekt: Wielokanałowy przetwornik A/C 12-bit z mikroprocesorem 8051

    Wszystko już mam gotowe...kwestia co na to prowadzący...Mam nadzieje,że nie będzie mu nic "za przeproszeniem odbijać". Wszystko mam już połączone, po części wyliczone. Licznik będzie wskazywać energię czynną ze wzorka podanego przez Pana Marka, a co do biernej to muszę to jeszcze przemyśleć jak to rozwiązać...Co prawda nie mam nic na temat biernej w...

    Mikrokontrolery   24 Kwi 2010 15:18 Odpowiedzi: 66    Wyświetleń: 9804
  • Jak przerobić płytkę licznika Fiat 126p – pomysły i schematy do wykorzystania

    Trochę mało precyzyjnie kolega zadał pytanie. Na co chcesz przerobić? Czy to ma być usprawnienie istniejącej płytki i dalej używanie jej jako licznika w malczanie czy po prostu wykorzystanie elementów z niej do jakiegoś własnego projektu?

    Początkujący Naprawy   03 Cze 2013 19:26 Odpowiedzi: 4    Wyświetleń: 1551
  • Jak zbudować układ do mierzenia czasu reakcji z diodą i licznikiem?

    Cześć, mam nadzieję, że zamieszczam post w odpowiednim dziale. Jeżeli nie, to proszę o przeniesienie. Chciałbym zbudować układ do mierzenia czasu reakcji. Nie jestem elektronikiem i chciałbym potraktować taki mini-projekt w kategoriach "wyzwania" i może wkręcenia się w coś więcej - tak, zgadza się - pewnie jest to banalnie proste, ale mam o tym małe...

    Początkujący Elektronicy   12 Kwi 2024 10:31 Odpowiedzi: 15    Wyświetleń: 390
  • Jak zbudować licznik kilometrów i prędkościomierz na AVR z LCD 2x16?

    Raczej względem czego.. Względem sprawnego licznika który będzie rozbebeszany i przerabiany na potrzeby projektu :)

    Projektowanie Układów   23 Kwi 2009 16:55 Odpowiedzi: 13    Wyświetleń: 7119
  • Program licznika na AT89C2051 do projektu Jabel J-239 - błąd w strukturze

    Czy jest możliwość podesłania do kogoś tego procka w celu zaprogramowania jako licznik JABEL'a J-239? Jest :-) Ale lepiej napisz mi na priv swój adres a ja Ci wyślę gotowy nowy procek a ten sobie zostawisz bo koszt procesora jest mniejszy niż koszt wysyłki :P

    Mikrokontrolery   31 Gru 2011 19:35 Odpowiedzi: 9    Wyświetleń: 2832
  • Projekt 3-bitowego licznika synchronicznego JK z sekwencją 46710235

    J0=Q1 K0=\overline{Q1} J1=\overline{Q0} K1=Q0 J2=Q0*Q1*\overline{Q2}+Q2*\overline{Q1}+... K2=\overline{J2}

    Początkujący Elektronicy   12 Cze 2005 22:44 Odpowiedzi: 4    Wyświetleń: 6251
  • Prosty dozymetr - detektor promieniowania

    Czy ktoś widział projekt/schemat licznika na atmega8 z lcd i tubą SBM-20-1 ? [youtube]http://www.youtube.com/watch?v=... :D

    DIY Konstrukcje   13 Sie 2012 15:40 Odpowiedzi: 76    Wyświetleń: 51579
  • Projekt licznika rowerowego z Arduino - pytania o komponenty i założenia

    Ok , dzięki za info. Dotyk będzie pojemnościowy albo wcale, rysik odpada. Jeżdżę zimą, w tym roku nawet przy -17 stopniach ale wtedy nie mam potrzeby go używać, po prostu start i jazda, bez przystanków aby nie marznąć. Zdecyduję się na wersję z GPS ale bez czujnika ciśnienia. Wysokość jest bardzo przydatna, szczególnie na fajnym maratonie, aby wiedzieć...

    Arduino   26 Cze 2017 20:41 Odpowiedzi: 12    Wyświetleń: 1806
  • Czy układ MCX1202 nadaje się do sterownika ogrzewania 24/7? Gdzie go kupić?

    Uproszczenie układowe spowoduje zastosowanie specjalizowanych układów np.: ICM7250 - ustawiany licznik BCD - 0 do 99 ICM7260 - ustawiany licznik modulo 60 - od 0 do 59 ICM7217B lub C - ustawiany licznik góra/dół, od 0 do 5959, z obsługą wyświetlacza LED Szczególnie ciekawy wydaje się ICM7217 który jest kompletnym licznikiem z obsługą wyświetlacza LED....

    Mikrokontrolery   04 Lis 2004 17:36 Odpowiedzi: 12    Wyświetleń: 3847
  • Darmowy, prosty kompilator Verilog i VHDL do nauki podstaw, open source

    Witam Chcę się nauczyć podstwa języka Verliog i VHDL. Poszukuje jakiś darmowych, prostych najlepiej w wersji free open source kompilatorów tych języków. Wiem, że jest np: Active-HDL, Xilininx i Altera ale są one dla mnie zbyt potęzne jak na początek. Zależy mi na podstawach (projekt rejestru, licznika itp). Pozdrawiam

    Programy EDA   25 Lut 2010 22:19 Odpowiedzi: 3    Wyświetleń: 3755
  • Czy da się przestroić licznik rowerowy dla koła o obwodzie 150 mm?

    Niektóre liczniki pozwalają wpisać obwód w milimetrach, więc nie ma z nimi problemu. Wpisujesz 150 i gotowe. Jeśli licznik pozwala tylko wybrać gotową średnicę, to trzeba zmienić licznik, albo projekt.

    Początkujący Elektronicy   15 Lut 2024 18:31 Odpowiedzi: 25    Wyświetleń: 507
  • CORAX 3 - zawyżone odczyty

    Nie miałem takiej możliwości. Dopiero jak przyszedł rachunek, to się dowiedziałem. Elektromo https://obrazki.elektroda.pl/6884018500_... nter PGE przyjechał i po prostu wymienił na nowy bez mojej wiedzy, oczywiście, bo takie mają prawo. PGE Dystrybucja wysłała stan licznika do PGE Obrót. PGE Obrót poprosił, aby PGE Dystrybucja sprawdziła...

    Elektryka Pomiary   29 Wrz 2024 12:34 Odpowiedzi: 28    Wyświetleń: 29463
  • Prosty zegarek na 8051. Prezentacja projektu.

    Witam, czekam na kolejne wyniki obserwacji dokładności pomiaru czasu ;) Domyślam się, że program używa przerwań od któregoś z liczników, możesz coś więcej napisać o programie? Chciał bym napisać go samodzielnie w asm.

    DIY Konstrukcje   13 Cze 2008 05:42 Odpowiedzi: 55    Wyświetleń: 17369
  • Zmodyfikowany kod licznika 8-bitowego na Arduino Uno: funkcje, przyciski i LCD

    1. Funkcję konwersji do hex-a możnaby zrobić w oparciu o LUTa (stablicowane wartości). Poniżej prosty przykład na PC-ta dla testów ale łatwo zaadoptować do arduino: [syntax=cpp]#include <iostream> using namespace std; void tohex(unsigned long val, char* buf) { const char* const lut16 = "0123456789ABCDEF"; // tablicę można przenieś do FLASH for...

    Arduino   03 Lis 2022 00:20 Odpowiedzi: 10    Wyświetleń: 804
  • Prosty projekt z dekoderem BCD 7442 – co można zbudować, przykładowy schemat

    Jak nie może być licznik 7490 to co stoi na przeszkodzie, aby użyć innego licznika,np. 7492, 7493, 74191, 74192 lub wykonać licznik na przerzutnikach, bo licznik w takim układzie musi być. Pozdrawiam wszystkich jjanek

    Początkujący Elektronicy   29 Mar 2008 19:44 Odpowiedzi: 14    Wyświetleń: 5090
  • Zdalny mini częstotliwościomierz / obrotomierz / licznik impulsów

    Prosty, genialny i zasługujący na pudło projekt. Jak dla mnie Nr1 wśród zamieszonych do tej pory :P

    DIY Konkurs   01 Paź 2017 08:18 Odpowiedzi: 17    Wyświetleń: 7032
  • Analiza i korekta projektu stopera na 99 sekund z układem 4047 i licznikiem 4518

    Czesc,chciałbym Was prosić o analizę i ewentulaną korekcję błędów mojego nowego projektu. Bedzie to prosty stoper na 99 sekund na układach cyfrowych. Razem z listem załączam narysowany juz schemat ideowy układu. Układ ma działać następująco. Układ 4047 pracuje w roli multiwibratora w trybie free run(bez dodatkowego wyzwalnia) i generuje przebieg prostokątny...

    Projektowanie Układów   11 Lip 2005 23:30 Odpowiedzi: 6    Wyświetleń: 2088
  • Jak zrealizować przełącznik kamer z multiplekserem MAX4315 i licznikiem CD4017?

    Witam 4017 do tego celu się nie nadaje zbytnio ponieważ jest to licznik dziesiętny i nie wykorzystali byśmy wszystkich możliwości (czyt. wejść) multiplexera... trzeba zastosować 3-bitowy licznik binarny, a do tego celu znakomicie nadaje się 74xx93... projekt licznika sam sprawdzałem bo używam go w sterowniku silników krokowych, poniżej schemat jak to...

    Projektowanie Układów   26 Paź 2008 00:29 Odpowiedzi: 69    Wyświetleń: 10893
  • Projekt licznika 4-bitowego - poszukuję schematu lub gotowego projektu

    Witam, Budował ktoś, ma zbudowany któryś z poniższych liczników ? Bardzo byłbym wdzięczny gdyby ktoś mi wysłał projekt, któregoś z poniższych liczników. https://obrazki.elektroda.pl/1645117000_...

    Początkujący Elektronicy   24 Sty 2018 15:02 Odpowiedzi: 1    Wyświetleń: 861
  • Zaskakująco prosty i praktyczny licznik cyfrowy

    Witam, projekt bardzo użyteczny. Można by tez bylo zrobić tak z byszką na kulkę. Ocena: 4/6 PZDR

    Artykuły   22 Lis 2011 08:47 Odpowiedzi: 21    Wyświetleń: 15094
  • Zegar na przekaźnikach - projekt licznika dziesiętnego

    Sprawa prosta multiwibrator sterujący przekaźnikiem clk.

    Projektowanie Układów   15 Sie 2013 17:07 Odpowiedzi: 5    Wyświetleń: 3348
  • Projekt licznika modulo 73 na licznikach typu 74163

    Witam Mam do zaprojektowania licznik liczący modulo 73 na licznikach typu 74163. Wykonałem wstępny projekt w Quartusie ale nie liczy on poprawnie. Nie wiem czy wszystko dobrze podłączyłem. Proszę o pomoc. Nie wiem też jak wykonać reset na takim liczniku. Wykrywam sekwencje 1001001 i bramką nand podłączam do reset (clrn), ale licznik się nie resetuje....

    Projektowanie Układów   07 Gru 2020 20:10 Odpowiedzi: 1    Wyświetleń: 696
  • Projekt układu zliczającego impulsy TTL 7490 i wyświetlacz 7-segmentowy

    Mylisz się, Qa licznika na A wyświetlacza i tak po kolei aż Qd licznika na D wyświetlacza.

    Projektowanie Układów   07 Lis 2006 23:47 Odpowiedzi: 4    Wyświetleń: 2629
  • Przykłady prostych programów w IL: 4 z timerami, 4 z licznikami (10-15 linii)

    problem dla mnie jest taki ze do konca nie znam zasady programwania tych licznikow i timerow w PLC wiec dlatego tu was prosze o pomoc. Potrzebne jest mi to do projektu, czesc teorytyczna mam, czesc z przykladami mi brak:(

    Początkujący Elektronicy   23 Wrz 2007 15:07 Odpowiedzi: 2    Wyświetleń: 1056
  • [ATMEGA32] [ATMEGA32][C] - Zakłócenia KS0108 po zmianie portu z PORTA na PORTB

    Przede wszystkim widać , że troszkę na oślep walczysz z prawidłową filtracją zasilania więc może to ci pomoże? http://mirekk36.blogspot.com/2012/04/mik... poczytaj, popatrz i zobacz co z czym jest związane i za co odpowiada .... używasz niewłaściwych kondensatorów ... do filtracji. To jedno... a DRUGIE .... ja bym na...

    Mikrokontrolery AVR   08 Sie 2012 13:36 Odpowiedzi: 13    Wyświetleń: 2454
  • Gdzie znajdę czujnik magnetyczny do projektu licznika zdarzeń?

    wystarczy wyświetlacz (na 2 cyfry, czyli 14 segmentowy), jakiś czujnik magnetyczny, 2 guziki (jeden ON/OFF, drugi zerujący licznik) i zasilanie. Nie wystarczy. Zapomniał o liczniku.

    Początkujący Elektronicy   05 Wrz 2017 21:20 Odpowiedzi: 3    Wyświetleń: 483
  • [Zlecę] Wykonanie projektu i prototypu licznika przepływu wody

    Dodam od siebie że taki projekt już był zlecany w tym dziale (zbliżone wymagania) i nie doszedł do skutku: http://www.elektroda.pl/rtvforum/topic19... Bo po prostu jest nierealny w tej cenie (w Polsce, w Chinach to co innego). Gość chciał za 100zł i było nierealne a Ty chcesz za 30zł. Nawet sama elektronika się w tym nie wyrobi bo wspomniałeś...

    Ogłoszenia Elektronika   21 Wrz 2012 17:37 Odpowiedzi: 17    Wyświetleń: 2529
  • wsk. wysterowania z detektorem wart.sztytowej-własny projekt

    nadal nie moge znaleźć odpowiedniego układu scalonego. Potrzebny jest prosty licznik binarny. Nawet jeśli znajde w necie jakiś, to nie mam zielonego pojecia, czy jest on dostepny w sklepach. Wymagania są bardzo niewielkie. Wystarczy, aby zliczał do 8 impulsów i żeby wynik był podawany w postaci binarnej. Przydałoby sie, zeby był mały (DIL8, DIL10)...

    Projektowanie Układów   02 Lut 2006 18:02 Odpowiedzi: 10    Wyświetleń: 3056
  • Projekt miernika mocy z 3 przekładnikami prądowymi TA20-100 dla silnika DC 7500W, ADC STM32

    Ja wcześniej napisałem ze jestem elektronikiem hobbystą W takim razie rozważ przestudiowanie https://pl.aliexpress.com/w/wholesale-3%... Serio. Szkoda czasu na projekt, którego wykonanie będzie droższe (a jakość pomiaru niekoniecznie dobra) niż to, co można po prostu kupić. Same przekładniki to ok. 150zł, a za 166zł masz...

    Projektowanie i Tworzenie Po godzinach   27 Lut 2023 16:58 Odpowiedzi: 19    Wyświetleń: 1230
  • Prosty schemat ideowy urządzenia domowego do pracy szkolnej - sugestie?

    albo jakaś aplikacja 4017 :D - licznik 0-9 bardzo prostą zabawkę można zrobić. Mam projekt, ale wymaga on lekkiego dopracowania :D jak coś to gg :D

    Początkujący Elektronicy   15 Maj 2007 17:22 Odpowiedzi: 11    Wyświetleń: 2305
  • Jak przerobić licznik BMW e87 i116 na licznik do gier za pomocą Arduino?

    Coś takiego? https://forbot.pl/forum/topic/24322-licz...

    Początkujący Elektronicy   30 Mar 2025 20:30 Odpowiedzi: 4    Wyświetleń: 141
  • Wybór czujnika prądowego do wykrycia ładowania telefonu w aucie

    Dodam tylko, że nie będzie ten projekt w aucie, tytuł wprowadza w błąd, ładowarka będzie używana tylko do ładowania telefonu. Jest to po prostu zegarek z wykorzystaniem licznika z auta, a ta kontrolka to moje widzimisię, bo nie chcę się rozstawać z pracą nad tym licznikiem. Jako tako już rozumiem, co trzeba zrobić, aby uzyskać porządny efekt. Będę się...

    Początkujący Elektronicy   04 Lut 2024 16:36 Odpowiedzi: 31    Wyświetleń: 678
  • Sprawdzenie projektu układu sterowania PLC dla układu pneumatycznego w LAD

    Dlaczego reset dales w ( ). Jako () rozumiem jakąś akcję. Ty podłącz to po prostu do wejścia RESET swojego licznika (zamiast I0.4) Jako ciekawostkę napisze że w różnych sterownikach różnie pochodzi się do pewnych spraw. I tak np. w sterownikach AB żeby zresetować licznik trzeba użyć f-cji (RES), którą wywołuje się niezależnie od samego licznika.

    Automatyka Przemysłowa   31 Maj 2009 21:21 Odpowiedzi: 13    Wyświetleń: 10536
  • Projekt licznika modulo 71 z użyciem liczników 74163

    Witam, mam problem z zerowaniem licznika. Licznik jest zerowany kiedy na wejściu zerowania jest 0. Licznik ten ma być modulo 71. Proszę o pomoc. Niestety nie chce wyjść poprawnie, a nie wiem jaki błąd został popełniony. https://obrazki.elektroda.pl/5078506900_... https://obrazki.elektroda.pl/7460296200_...

    Nauka Elektroniki, Teoria i Laborki   16 Gru 2020 15:11 Odpowiedzi: 1    Wyświetleń: 603
  • Zegar Nixie na licznikach CMOS.

    http://obrazki.elektroda.net/72_11732896... Witam. Długo mi zeszło zanim wziąłem sie za zrobienie tego zegarka. Chodził mi on po głowie od ponad 2 miesięcy, więc w tym czasie pomału kupowałem elementy. Zacząłem od lampek Nixie, kupiłem 6 sztuk na allegro. Potem ze szkoły wziąłem kolejne 6 lamp Nixie ze starych miernikow. No i zaczęły sie schodki....

    DIY Konstrukcje   12 Gru 2012 11:36 Odpowiedzi: 77    Wyświetleń: 30397
  • Miernik częstotliwości TTL/CMOS

    http://obrazki.elektroda.net/11_12667578... Wszystko powinno być tak proste, jak to możliwe, ale nie uproszczone – Albert Einstein. Taka idea przyświecała przy projektowaniu tego układu, żeby zrobić ciekawą i funkcjonalną rzecz przy zastosowaniu możliwie najprostszych rozwiązań. Urządzenie można zastosować jako miernik od 1/4Hz do 100MHz,...

    DIY Konstrukcje   13 Lis 2010 11:23 Odpowiedzi: 32    Wyświetleń: 31170
  • Zastosowanie układów CMOS 4093, 40106, 4017 i LM358 w prostych projektach

    Te układy to w kolejności: bramka Schimtta NAND, przerzutnik Schmitta i licznik dekadowy. A LM358 to wzmacniacz operacyjny, ale z tego co pamiętam nie jest to układ wykonany w technice CMOS.

    Początkujący Elektronicy   28 Maj 2016 20:49 Odpowiedzi: 10    Wyświetleń: 1404
  • Diody LED nie świecą w projekcie z licznikiem CMOS 4017 – analiza błędów PCB

    Płytkę trawiłeś sam czy zlecałeś wykonanie ?

    Pomoc w PCB   12 Maj 2025 14:38 Odpowiedzi: 10    Wyświetleń: 294
  • Prosty licznik geigera-müllera

    Jest to schemat i przykładowy projekt płytki prostego licznika geigera-müllera do pomiaru promieniowania beta i gamma. W układzie możemy zastosować tubę pomiarową PHILIPS'a lub jakąś starą z demobilu za kilka złotych np. kupioną na allegro (ja własnie tam kupiłem swoją). Układ może być przydatny np podczas wycieczki w górach Sowich , lub w okolicach...

    Artykuły   25 Lut 2010 20:21 Odpowiedzi: 5    Wyświetleń: 21626
  • Licznik Geigera, detektor promieniowania beta i gamma.

    Mam pytanie. Jak zastąpić sygnalizację akustyczną pracy detektora Geigera, sygnalizacją cichą zrealizowaną za pomocą silniczka wibracyjnego? Czy ktoś może przedstawić jakiś prosty projekt takiej sygnalizacji? Detektor do którego chciałbym ją zastosować jest zasilany napięciem 9V.

    DIY Konstrukcje   22 Paź 2017 10:43 Odpowiedzi: 88    Wyświetleń: 60107
  • Moje małe PV Off-Grid. Część 1, założenia projektowe

    https://obrazki.elektroda.pl/6589081200_... Ceny energii w ostatnim czasie mocno poszły w górę a w ciągu ostatnich kilku lat podwyżki choć minimalne okazały się znaczące. Rządowe programy promujące fotowoltaikę stają się coraz mniej korzystne dla osób które w nie zainwestowały i w zasadzie nikt nie wie jak to dalej się potoczy. Od...

    Artykuły   18 Paź 2022 08:20 Odpowiedzi: 153    Wyświetleń: 10827
  • [CPLD] Projekt enkodera kwadraturowego na XC9572XL - problem z kierunkiem ruchu

    W VHDL tak można napisać: signal FF1 : std_logic; signal FF2 : std_logic; signal FF3 : std_logic; signal FF4 : std_logic; signal FF5 : std_logic; signal FF6 : std_logic; process(clk, quada, quadb) begin if rising_edge(clk) then FF1 <= quada; FF2 <= FF1; FF3 <= FF2; FF4 <= quadb; FF5 <= FF4; FF6...

    Programowalne układy logiczne   05 Sty 2010 11:41 Odpowiedzi: 13    Wyświetleń: 3712
  • Co ciekawego budujecie na ESP8266 ESP32 - ESPHome? Gotowe projekty z wykorzystaniem ESP (dużo linków

    https://obrazki.elektroda.pl/3566138000_... Dwa dni temu na hacker news pojawił się ciekawy Klient Snapcast Projekt polega na stworzeniu klienta Snapcast, który umożliwia synchronizację odtwarzania audio w wielu pomieszczeniach. Serwer NTP Stratum-1 Serwer NTP z czasem pobieranym z GPS, wyświetlający czas z niezwykłą precyzją. Tablice...

    Artykuły   22 Maj 2024 13:56 Odpowiedzi: 19    Wyświetleń: 7665
  • c330 - Jak działa czujnik temperatury w ciągniku? Projekt komputera pokładowego

    Alternator posiada wyjście napięcia zmiennego, ono jest wykorzystywane do podłączeń obrotomierza elektronicznego. Znając obroty oraz przełożenie (bieg) można jakoś wyskalować prędkość. Rozszerzenie zakresu możliwe jest do zrobienia np. rezystor, dzielnik napięcia, jakiś prosty układ elektron.. Dlaczego przestaje działać oryginalny licznik!

    Maszyny Rolnicze, Sprzęt Ciężki   15 Maj 2014 06:59 Odpowiedzi: 16    Wyświetleń: 6660
  • SAIA PCD1.M0160 - Połączenie Modbus RTU z licznikiem F&F LE-03M

    Witam Próbuję napisać prosty program do odczytu danych z licznika LE-03M (http://www.fif.com.pl/produkt/1543/1203... Stworzyłem projekt i dodałem bloczek w Fupli - Init Client RS, ustawiając parametry komunikacji: Channel: Channel 1 Serial port: Port 1 Line type: RS485 Transmission speed: 9600 bps Bits-Parity-Stop: 8-N-1 Protocol: Modbus/RTU Timeout[s]:...

    Automatyka Przemysłowa   19 Wrz 2016 22:50 Odpowiedzi: 2    Wyświetleń: 1905
  • prosty licznik z wyswietlaczem zbudowanym z ledów

    W numerach 4/1997 i 5/2002 Elektroniki dla Wszystkich są projekty z użyciem dużych wyświetlaczy zbudowanych z LED-ów.

    Projektowanie Układów   04 Lut 2005 09:00 Odpowiedzi: 3    Wyświetleń: 1557
  • Projekt licznika synchronicznego modulo 5 na przerzutnikach D - jak zaprojektować?

    A potrafisz na 3 przerzutnikach typu D zaprojektować licznik synchroniczny modulo 8?

    Nauka Elektroniki, Teoria i Laborki   01 Cze 2020 18:39 Odpowiedzi: 1    Wyświetleń: 1023
  • Jak zliczać impulsy z wejścia? LOGO 8 oraz HMI KTP700.

    Witam, W takim razie chyba coś masz namieszane. Sprawdź jeszcze raz wszystko. Ewentulanie w nowym projekcie dodaj tylko licznik i sprawdź działanie. A i reset musi restować ;) Pozdrawiam,

    Automatyka Sterowanie PLC   08 Kwi 2019 06:48 Odpowiedzi: 7    Wyświetleń: 462
  • Układ elektroniczny do badania tarczy numerycznej .

    To nie musi być coś opartego na mikroprocesorze, jakiś prosty projekt (którego pewnie nawet nie zrealizujemy) jest to technikum więc nic skomplikowanego :) Skłonię się chyba do licznika z dekoderem i wyświetlaczem numerycznym. Ma ktoś pomysł jak to podłączyć ?

    Początkujący Naprawy   12 Wrz 2012 21:53 Odpowiedzi: 16    Wyświetleń: 1698
  • Projekt płytki PCB w Eagle dla licznika – rozmieszczenie elementów i wyświetlaczy

    Witam Jeśli korzystasz z „Eagle” w wersji „free”, to takie rozciągnięcie obrysu nic ci nie da, gdyż w tej wersji można stworzyć płytkę maksymalnie 100 x 80 mm i poza tym obrysem nie ułożysz już żadnych elementów. W tej wersji trzeba, po prostu inaczej ułożyć elementy aby zmieściły się na takiej płytce. Pozdrawiam.

    Projektowanie PCB   23 Sty 2007 15:35 Odpowiedzi: 10    Wyświetleń: 1945
  • Gdzie znaleźć projekt licznika rowerowego z plikiem licznik.asm?

    Szukam strony z projektem licznika rowerowego mialem gdziesz linka ale mi wsiakl moze ktos mnie poratuje (wim ze plik do projektu nazwyal sie licznik.asm i na tej stronie bylo sporo projektow strona polska)

    Mikrokontrolery   12 Sty 2004 11:22 Odpowiedzi: 1    Wyświetleń: 1916
  • Zdalny odczyt licznika wody z nakładką IZAR

    Podłączyłem (mój moduł to wt32-eth01 v1.4) tak jak jest w bibliotece (teraz jest już poprawiona) //CC1101 config #define GDO0 14 #define GDO2 35 #define SPI_SCK 12 #define SPI_MISO 4 #define SPI_MOSI 15 #define SPI_SS 2 Wgrałem po prostu cały projekt skompilowany w platformIO w config.hpp zmieniając na moją płytkę: // Include board config #include "config_wt32-eth01_v1.4.hpp"

    Artykuły   23 Lip 2024 21:21 Odpowiedzi: 104    Wyświetleń: 24912
  • Projekt instalacji elektrycznej - budujemy nowy dom

    Może i na dodatek warto by się zastanowić czy PEN powinien być brany z szyny PEN w ZK czy z zacisku licznika bezpośrednio? Małe zmiany. Temat należy do "drażliwych" na tym forum oraz pokrewnych. Za druga opcją przemawia: - niższy koszt WLZ (4żyły) - "zasygnalizowanie" nieciągłości PEN a tym samym skutecznego SWZ przez asymetrię napięć Za pierwszą:...

    Elektryka Dla Każdego   27 Sty 2021 17:56 Odpowiedzi: 36    Wyświetleń: 2286
  • Schemat prostego układu licznika osi z bramkami logicznymi - poszukiwania

    Witam !! Masz rację - CMOS są lepsze - dlatego napisałem np 74193 ( TTL) lub 40193 ( CMOS ) . Pewnie dlatego że mam takie w pudełku :D . Układ ( co za straszne słowo :D ) jest dość prosty i można go zrobić ( na próbę ) na płytce uniwersalnej - przy okazji zweryfikować prawidłowość działania . A jak " ruszy " to zrobić projekt PCB . pozdrawiam !!

    Początkujący Elektronicy   20 Gru 2007 09:19 Odpowiedzi: 10    Wyświetleń: 3518
  • Zastosowania układu EPROM 27C256 Microchip – przykłady projektów i programowanie

    ... sterownik reklamy świetlnej na EPROMie nie jest moim pomysłem. Kiedyś wiele lat temu, firma NORD-ELEKTRONIK miała w swojej ofercie takie KITY do samodzielnego montażu chyba pod nazwą "Sterownik EPROM", czy coś w tym rodzaju. Nie wiem, czy ma je teraz. Miałem te zestawy w swoim sklepie, jak jeszcze był popyt na kity (teraz kompletny KIT - nikt juz...

    Elementy Elektroniczne - zamienniki i identyfikacja   28 Lis 2006 22:03 Odpowiedzi: 5    Wyświetleń: 1271
  • Zadanie - projekt licznika synchronicznego na przerzutnikach JK.

    Zaprojektuj licznik synchroniczny na przerzutnikach JK dzialajacy wedlug tego rysunku: http://img464.imageshack.us/my.php?image...

    Początkujący Elektronicy   10 Sty 2006 02:45 Odpowiedzi: 8    Wyświetleń: 2735
  • Projekt szafki licznikowej - pytania o łączenie przewodów na ograniczniku przepięć

    Mi jedna Karynka wytłumaczyła to w kilku prostych słowach - bierzerz ch*ju kasę, to bierz i spierdalaj. A mąż jest w delegacji i gówno cię obchodzi co on robi. A skrzynka ma być na dworze i to już... na wczoraj. Mocne . A ,,skrzynka,, wylądowała na dworze ?

    Elektryka Dla Każdego   31 Mar 2017 14:53 Odpowiedzi: 16    Wyświetleń: 4680
  • Sofar Solar KTL-X integracja MODBUS

    Temat zakończony. Efekt jak w temacie https://www.elektroda.pl/rtvforum/viewto... Do konwertera modbus<-->Wifi Elfin EW11 podłączony mam inverter i dodatkowy dwukierunkowy licznik SDM630. W pythonie napisałem wtyczkę dla domoticza, wyświetam i rejestruje tylko interesujące mnie parametry. Z oryginalnego loggera...

    Energia Odnawialna   03 Cze 2025 10:38 Odpowiedzi: 315    Wyświetleń: 97266
  • Prezent na Walentynki - NE555 + 4017 + LED

    http://obrazki.elektroda.pl/2407643000_1... Witam! Dziś mamy Walentynki wiec nawiązując do tematu przedstawiam mini projekt migającego serduszka. Jest to prosty układ oparty na liczniku dziesiętnym 4017, który steruje 7-ma sekcjami diod LED ułożonymi w kształt serduszka. Siódme wyjście dołączone jest do wejścia reset powodując cykliczna prace...

    DIY Poczekalnia   02 Kwi 2011 21:10 Odpowiedzi: 20    Wyświetleń: 11243
  • Pomysły na prosty projekt z techniki mikroprocesorowej dla początkującego

    yego666 z całym szacunkiem, ale po co strzelać z armaty do muchy. To co proponujesz, to mozna zrobić na dwóch przerzutnikach i liczniku dwukierunkowym oraz kilku elementach typu źródło swiatła skupionego i jakiś fotoczujnik oraz triak. Pozdrawiam wszystkich jjanek

    Mikrokontrolery   04 Maj 2005 19:55 Odpowiedzi: 6    Wyświetleń: 3762
  • Jak zbudować licznik obrotów silnika na DSM-51? Wskazówki dla czujnika i kodu

    Jeśli masz dostęp do książek jakie są z DSM-51 to możesz wykorzystać projekt przystawki licznika przedmiotów świetnie sie do tego nadaje. Całość działa podobnie jak mysz komputerowa. Pozdrawiam Matejkos

    Mikrokontrolery   27 Paź 2006 19:29 Odpowiedzi: 2    Wyświetleń: 1565
  • Licznik Geigera w drewnianej obudowie wersja finalna.

    http://obrazki.elektroda.pl/7062776700_1... Witam, Chcę przedstawić licznik Geigera na tubie STS-5. a) Wstęp/założenia: Swój licznik postanowiłem zrobić gdy przeczytałem w EDW o liczniku Geigera na USB byłem zdziwiony że tak tanio można zrobić tak ciekawe urządzenie . Po krótkich poszukiwaniach w internecie znalazłem przetwornicę GM...

    DIY Konstrukcje   11 Mar 2015 18:58 Odpowiedzi: 27    Wyświetleń: 16302
  • Monitor energii elektrycznej bazujący na wyjściu optycznym licznika energii

    Od dziecka intrygowało mnie to "dziwne kółeczko" z czarnym paskiem w liczniku, które kręciło się szybciej wtedy, gdy wzrastał w domu pobór energii elektrycznej. Wraz ze zwiększaniem się mojej świadomości technicznej, tajemnica "kółeczka" (a później czerwonej diody) stawała się bardziej oczywista i mniej tajemnicza, by wreszcie wraz z nastaniem epoki...

    DIY Konkurs   10 Mar 2019 15:54 Odpowiedzi: 33    Wyświetleń: 17313
  • STM32F0 - Eclipse, CodeSourcery, OpenOCD - nieprawidłowy hex

    ...czy masz może jakiś ładny przykładowy projekt dla CM0? Zamieszczam swój przykład na płytkę Discovery F0. Makefile Freddiego, linker i startup zaczerpnięty z przykładowych projektów ze strony ST. W programie skonfigurowany GPIOC, licznik TIM3 i przetwornik ADC. Projekt jest pod CodeBlocks. Miałem jeszcze ogarnąć UART i debugowanie za pomocą gdb ale...

    Mikrokontrolery ARM   13 Lut 2014 20:48 Odpowiedzi: 6    Wyświetleń: 2178
  • Zdalne czytanie liczników wody via Ethernet - puls i M-BUS

    Dzień dobry - przygód z moim budynkiem mieszkalnym ciąg dalszy. Mam 1 główny licznik zimnej wody, 1 główny licznik gazu, 1 licznik zimnej wody wpływającej do systemu grzewczego (puls), 82 liczniki ciepłej wody zlokalizowane w mieszkaniach (M-BUS), oraz 82 liczniki zimnej wody zlokalizowane w pionach (pulsowe, ale bez okablowania, w liczbie od 5 do 10...

    Automatyka Przemysłowa   17 Gru 2019 16:20 Odpowiedzi: 1    Wyświetleń: 633
  • Czy schemat licznika impulsów z układami 7447 i wyświetlaczami jest poprawny?

    Chciałbym podziękować wszystkim za pomoc przy liczniku, projekt uważam za skończony. Dla ciekawych, Zastosowałem filtr ze starej listwy przepięciowej (dławik i warystory ) przed zasilaniem przekaźników i pomogło dzięki temu przekaźnik od licznika przestał wariować. Już w temacie nie będę głowy zawracał. Pozdrawiam.

    Projektowanie Układów   12 Paź 2009 20:38 Odpowiedzi: 26    Wyświetleń: 15366
  • Przykłady programów w Keil: obsługa portów, odczyty, liczniki na zaliczenie

    Keil dostarcza przykłady wraz z kompilatorem. Jest ich całkiem sporo i całkiem porządne. Od podstawowych do zaawansowanych. Dodatkowo na stronie www.keil.com znajdziesz około setki róznego rodzaju bardzo prostych, wręcz elementarnych projektów. Z książek polecam "taką zieloną". Tytuł jej brzmi: "Programowanie mikrokontrolerów z serii 51 w C".

    Programowanie   22 Kwi 2005 19:50 Odpowiedzi: 3    Wyświetleń: 1098
  • Licznik punktów na CD4026

    Bardzo fajny i prosty układ , na procesorze chyba jeszcze nikomu nie udało się czegoś podobnego zbudować , szukałem przez kilka dni w internecie i nic nawet podobnego nie istnieje . Najwięcej problemu mają z traceniem impulsów gdy pochodzą z czujników , a te układy są niezawodne . Chętnie zobaczyłbym prosty projekt jak ten na arduino o tych samych możliwościach...

    DIY Początkujący   27 Lut 2019 03:33 Odpowiedzi: 13    Wyświetleń: 4200
  • Prosty projekt z układów cyfrowych - pomysły i inspiracje

    Witam! A może prowizoryczny miernik częstotliwości? Sygnał mierzony podpinasz na wejście licznika. Wyjścia licznika do przerzutnika synchronicznego, oraz dalej do dekodera kodu dwójkowego na 7-seg i do wyświetlaczy 7-seg. Oddzielnie generator (np. 1Hz dla uproszczenia konstrukcji), który co 1s. wpisywałby wartość z licznika do przerzutników i zerował...

    Początkujący Elektronicy   05 Paź 2005 18:58 Odpowiedzi: 9    Wyświetleń: 960
  • Projekt. Podanie 4 par liczb. Licznik. Wypisanie liczby na wyjście.

    Witam Było napisane "nie może być na mikroprocesorze", więc go nie ma. Na buforach trójstanowych jest źle, skąd ja mogę wiedzieć co można a co nie. Jestem praktykiem i podchodzę do elektroniki praktycznie, nie interesują mnie schematy układów cyfrowych które są rozbite na tranzystory. Tabele prawd i inne takie rzeczy są do gimnastykowania umysłu a ja...

    Projektowanie Układów   09 Lis 2006 10:43 Odpowiedzi: 14    Wyświetleń: 1578
  • Licznik zwojów

    mógłbyś jeszcze raz wrzucić ten projekt? :)

    Newsy   02 Lis 2008 12:35 Odpowiedzi: 12    Wyświetleń: 10640
  • KiCad - dla początkujących w pytaniach i odpowiedziach

    Jak to jest z rozwojem KiCad-a? Biblioteki - gotowce, w sumie nie problem, zwłaszcza, gdy korporacja i tak tworzy własne (z różnych względów nie korzysta się z gotowców) Pytanie raczej jak z rozwojem i wspaciem? Z tego, co widzę, ostatnia wersja jest z połowy 2013 roku KiCad_stable-2013.07.07-BZR4022_Win_full... ? Wersja z gałęzi stable to...

    Programy EDA   21 Mar 2025 13:01 Odpowiedzi: 3156    Wyświetleń: 480635
  • Błędy podczas podłączania wyświetlacza Nokia 5110 do płytki Nucleo-F103RB - projekt licznik Geigera

    Tutaj https://github.com/Assetto147/MIKRO_WYSW... umieściłeś wywołania funkcji, tymczasem funkcje można wywoływać jedynie z innych funkcji, a nie "globalnie". Myślę, że chciałeś te wywołania umieścić gdzieś w main(), choć nie jestem wcale pewny, że są one do czegokolwiek potrzebne.

    Mikrokontrolery   29 Sty 2021 10:20 Odpowiedzi: 6    Wyświetleń: 534
  • Licznik NP73E.1-9-2 a zliczanie energii w instalacji 3-fazowej z inwerterem 1-fazowym

    Temat trochę umarł, a ja myślę, że nie powinnyśmy się tak łatwo poddawać. Wkur....ło mnie ME z projektem zmian w ustawie o OZE, więc trochę czasu poświeciłem na przemyślenia. Jeden z kolegów naprowadził mnie na punkt zaczepienia, a ponieważ dopiero niedawno ENEA założyła mi licznik dwukierunkowy, więc mogłem usiąść i napisać im pisemko. Proponuję aby...

    Energia Odnawialna   29 Wrz 2019 11:22 Odpowiedzi: 175    Wyświetleń: 28155
  • Licznik energii elektrycznej 2017 watomierz

    Masz prądy błądzące (gdzieś) i ci pokazuje co chce :) zresztą oparty on jest na czujniku halla więc .... No ale twój jest tańszy :) Jak pisałem poprzednio mi zależy na jakości i dokładności to ma być urządzenie które mierzy dobrze, zawsze i bezawaryjnie Niestety połączenie tych cech wymaga troszkę kasy i włożonego czasu pracy Co do tego projektu nie...

    DIY Konstrukcje   30 Lip 2017 14:40 Odpowiedzi: 76    Wyświetleń: 19557