przerzutnik latch

Znaleziono około 98 wyników dla: przerzutnik latch
  • [Bascom] Sterowanie 74LS374 jako ekspander I/O w Bascom – jak podłączyć i obsłużyć w kodzie?

    Jak wystawiasz zbocze, to dane są przepisywane z wejścia na wyjście (zatrzaskiwane). Potem kostka trzyma te dane do kolejnego zapisu czyli wpisujesz i zostawiasz, a jak chcesz zmienić robisz kolejny zapis zboczem. Różnica z przerzutnikiem latch jest taka, że tam jak miałeś poziom wysoki na wejściu zegarowym, to zmiany z wejścia pojawiały się na wyjściu....

    Mikrokontrolery   02 Lis 2007 17:47 Odpowiedzi: 7    Wyświetleń: 1970
  • [VHDL] - Dioda LED nieprawidłowo reaguje na dane SPI z STM32

    Sprawdziłem to, co polecałem sprawdzić Koledze mongoł2000 - RTL schematic dla przypadku rising_edge lub clk'event and clk='1' jeśli układ ma dzialać. Takem napisał w mojej pierwszej odpowiedzi.

    Programowalne układy logiczne   31 Paź 2012 22:16 Odpowiedzi: 9    Wyświetleń: 3867
  • Nie znalazłeś odpowiedzi? Zadaj pytanie Sztucznej Inteligencji

    Czekaj (1min)...
  • Jak wykonać historię zadziałania czujek alarmowych .

    Użyj przerzutników z zatrzaskami.(typu latch)

    Zabezpieczenia Stacjonarne   05 Cze 2007 16:23 Odpowiedzi: 10    Wyświetleń: 1773
  • REKLAMA
  • Jak podłączyć element 7475 do układu zliczającego?

    Układ 74'75 to 4 przerzutniki latch typu D. Działa on w ten sposób, że sygnał podany na wejście D jest przepisywany na wyjście Q (na wyjście negowane Q w zanegowanej formie w stosunku do Q) gdy na wejście E podana jest logiczne 1. Gdy stan na wejściu E zmieni się na logiczne 0 wtedy stan z wejścia D zostanie zatrzaśnięty na wyjściu Q i nie zmieni się...

    Projektowanie Układów   10 Sty 2013 19:00 Odpowiedzi: 6    Wyświetleń: 1854
  • Odszyfrowanie przerzutnika na podstawie przebiegów

    Normalny przerzutnik powinien zmieniać stan tylko przy jednym rodzaju zbocza – albo tylko rosnącym, albo tylko opadającym. Skoro wyjście Q przerzutnika zmienia się tak przy zboczach opadających, jak i rosnących, to zapewne jest to jakiś zatrzask (latch). Nie wygląda mi to jednak na D latch , jeżeli tabelka jest poprawna…

    Nauka Elektroniki, Teoria i Laborki   28 Lis 2015 21:39 Odpowiedzi: 2    Wyświetleń: 810
  • REKLAMA
  • Jak ustabilizować drgający napis na ekranie TV z AVR i PLL NE564?

    W tym przypadku mogę powiedzieć tyle: zamiast 74373 (przerzutnik LATCH)powinien być 74393 (2 liczniki).

    Projektowanie Układów   17 Lis 2006 18:50 Odpowiedzi: 3    Wyświetleń: 1832
  • Jak zaprojektować układ czasowy z przerzutnikiem D i obwodem RC?

    Jaki to jest przerzutnik latch czy edge. Jak edge to na weijscie D podaj "1" wyjscie Q podaj na uklad RC. Q jest jednoczesnie wyjsciem. Dalej napiecie z kondensatora podajesz na wejscie R. Sygnal wejsciowy podaj na CLK. Pozdro

    Początkujący Elektronicy   01 Mar 2008 08:26 Odpowiedzi: 8    Wyświetleń: 2802
  • Rejestr zatrzaskowy – budowa, zasada działania, przykłady zastosowań i schematy

    Przerzutnik typu Latch (zatrzask) jest wersją przerzutnika D wyzwalanego nie zboczem, lecz poziomem. W czasie trwania na wejściu zegarowym stanu wysokiego, wyjście Q powtarza stany logiczne wejścia D. W momencie zmiany na wejściu zegarowym stanu wysokiego na niski następuje "zatrzaśnięcie" (zapamiętanie) stanu wejścia D sprzed tej zmiany. Typowym zastosowaniem...

    Początkujący Elektronicy   15 Lut 2008 18:09 Odpowiedzi: 2    Wyświetleń: 1710
  • Czy w układzie z przerzutnikami MS z blokadą może wystąpić efekt skew?

    mam takie zadanie do zrobienia: wyjasnic czy w ukladzie zbudowanym na bazie przerzutnikow MS z blokada wejsc inormacyjnych moze wystapic zjawisko skew. wyjasnienie zilustrowac wykresami czasowymi. czyli czy wprowadzajac kolejne opoznienia w linie zegaru, uklad bedzie dzialal poprawnie. i jeszcze takie moje pytanie czym sie rozni przerzutnik zboczowy...

    Początkujący Elektronicy   11 Maj 2005 15:38 Odpowiedzi: 5    Wyświetleń: 1789
  • Błąd kompilacji kodu VHDL dla wyświetlacza 7-segmentowego - jak naprawić?

    Syntezowanie się zmiennych bywa czasem nieokreślone, dlatego ja np. w ogóle ich nie używam (no prawie :)), sygnał to sygnał i już, albo jest to kawałek jakiejś ścieżki, albo przerzutnik/latch. Poza tym o tym, że układ jest sekwencyjny nie decyduje istnienie w kodzie procesu, w którym skądinąd instrukcje wykonują się jedna po drugiej (żeby nie powiedzieć...

    Programowalne układy logiczne   13 Mar 2008 12:00 Odpowiedzi: 7    Wyświetleń: 3591
  • program do wsp. tworz. przebiegów czasowych z tech. cyf.

    po to ze przerzutniki typu d-latch reagują na zbocze opadające, a przerzutniki typu J-K na zbocze narastające. a więc żeby można było odróżnić na jakie zbocze reaguje cały układ

    Początkujący Elektronicy   13 Lis 2007 23:10 Odpowiedzi: 4    Wyświetleń: 6283
  • Wybór programu do syntezy VHDL z obsługą przerzutników RS, alternatywy dla Synplify

    i jeszcze coś na temat syntezowania projektów nie każdy model napisany w vhdl jest sytnezowalny niestety tylko niektóre konstukcje są syntezowalne, a nawet te syntezowalne mogą się czasami posypać jeśli się ich odpowienio nie napisze, czyli najpierw trzeba poważnie sprawdzić kod... nie wiem czy ten komunikat przypadkiem nie znaczy że pojawiły ci się...

    Mikrokontrolery   11 Paź 2003 20:27 Odpowiedzi: 17    Wyświetleń: 3528
  • REKLAMA
  • Jak zrealizować latch 8-bitowy do przechowywania stanu wejść w uC jednym scalakiem?

    Może przerzutniki D? (D-latch). Ale ja osobiście dałbym mikrokontroler i zapomniał o sprawie - będzie jeden scalak i będziesz miał 10 wejść.

    Początkujący Elektronicy   19 Cze 2006 18:47 Odpowiedzi: 9    Wyświetleń: 1042
  • Czy istnieje przerzutnik JK wyzwalany poziomem? Szukam schematu

    W zależności z jakich bramek będzie zbudowany NAND, NOR będzie różnił się logicznymi stanami aktywacji. Tym nie mniej to zawsze będzie początek danego stanu aktywującego czyli w sumie i tak będzie wyzwalany zboczem. Kiedyś dawno jak się tego uczyłem zapamiętałem że przerzutnik wyzwalany zboczem to taki który może zmienić stan tylko w czasie zmiany...

    Początkujący Elektronicy   21 Paź 2018 20:09 Odpowiedzi: 14    Wyświetleń: 1599
  • Jak rozróżnić D-latch i D-flipflop w Atanua? Przerzutniki D, JK, RS – zaliczenie

    Zrobiłem coś takiego i w jakichkolwiek zmianach przełącznika "0" druga lampka na wyjściu Q1 w ogóle się nie świeci. http://obrazki.elektroda.pl/3987484200_1... Użyłem dobrych przerzutników?

    Początkujący Elektronicy   22 Lis 2012 07:38 Odpowiedzi: 7    Wyświetleń: 5268
  • Diora MDS 502 - wyłączanie się przy użyciu prawej kieszeni, co robić?

    Nie mam do tego schematów, ale podejrzewam cyfrową część układu. Włączanie jakiejkolwiek funkcji oparte jest jak sądzę na przerzutnikach typu latch, może to problemy w zasilaniu cyfrówki powodują tego typu objaw? Zanim polecisz po paski, zobacz jak to wygląda po zdjęciu obudowy. Może coś się wkręciło w mechanizm. Sprawdź silniczek napędzający bolec...

    Audio Serwis   04 Sty 2003 19:22 Odpowiedzi: 12    Wyświetleń: 4422
  • Jakie ciekawe projekty można zrealizować z układów 40106, 74132, 4066, 4017, 4093?

    7490 - licznik dziesiętny. Do zobrazowania jego wskazań potrzebny jest dekoder kodu BCD na kod wyświetlacza siedmiosegmentowego 7447 lub jego odpowiednik. Może być też dekoder kodu BCD na kod 1 z 10 typu 7442 lub 74145. Żeby zbudować interesujące urządzenia na układach cyfrowych TTL lub CMOS potrzeba przede wszystkim sporo tych układów. Nawet zwykły...

    Początkujący Elektronicy   02 Lip 2017 21:13 Odpowiedzi: 7    Wyświetleń: 663
  • [STM32] Bit Banding vs GPIOx_BSRR/BRR - korzyści i różnice w użyciu

    Chyba powoli będę zamykał temat. Ale nie znalazłem dokładnego opisu zależności między BSRR i BRR - gaskoin, podaj mi stronę, bo może ślepy jestem... Znowu chcesz zamykać ? Fajnie się czyta :) I widać, że Twoje tematy szybko zyskują na popularności :P Jakie zależności ? Oba to rejestry na przerzutnikach latch, gdzie zapis jedynką oddziałuje na rejestry...

    Mikrokontrolery ARM   29 Maj 2012 09:20 Odpowiedzi: 58    Wyświetleń: 13441
  • Miernik częstotliwości sieci energetycznej, PIC16F84. Jak się za to zabrać?

    możesz jesli chcesz zrobić najpierw przejście z 230 na 5 V transofmatorem i z tego odczep do zasilenia ukladu na prostowniku natomiast bezpośrednio z trafa pujdzie do układu formujacego kwadratowy impuls a potem do ukladu liczącego z zatrzymaniem z przerzutników d latch jak zwykły częstotliwościomierz na TTL. JAK INTERESI TO PISZ PRZEKAŻE CI SCHEMAT

    Projektowanie Układów   14 Mar 2005 17:46 Odpowiedzi: 3    Wyświetleń: 2818
  • Przekaźnik G6BK-1114P-US - podwójne sterowanie, jak to działa?

    Można ten przekaźnik włączać z dwóch niezależnych obwodów. https://obrazki.elektroda.pl/5273182400_... Z całą pewnością nie o to chodzi. To implementacja klasycznego przerzutnika SR (latch to po polsku zatrzask). Więcej informacji w załączonym pliku 1.pdf w części zatytułowanej "Basic Operation of Special-purpose Relays".

    Automatyka Przemysłowa   25 Sty 2019 00:14 Odpowiedzi: 10    Wyświetleń: 603
  • Przerzutnik "T" na bramkach NAND sterownik

    Rzecz która była dla mnie ogromnym zaskoczeniem, program po zmontowaniu układu i próbie jego symulacji dosłownie wieszał się. Modele matematyczne elementów elektronicznych powodowały podejrzewam spore cykle obliczeń. Zapętlenie działania układu bez wyniku... Użyłeś przerzutnika typu D-latch a wiec przy wysokim stanie zegara stan wejściowy jest natychmiast...

    Projektowanie Układów   20 Maj 2016 20:19 Odpowiedzi: 10    Wyświetleń: 3687
  • Dobór tranzystora do sterowania diodą LED przy stanie 1 na wyjściu układu

    Witam. Nie jest to zatrzask (latch), tylko osiem przerzutników typu D z wyjściem trójstanowym,taktowanych wspólnym przebiegiem zegarowym. Pzdr.

    Elementy Elektroniczne - zamienniki i identyfikacja   17 Gru 2004 08:17 Odpowiedzi: 8    Wyświetleń: 1385
  • Przebiegi wyjściowe przerzutnika D przy równoczesnym sygnale strobującym i wejściowym

    przerzutnik D jest najprostszym typem przerzutnika synchronicznego poniważ przenosi sygnał z wejścia na wyjście. Wyróżnia się kilka podstawowych typów a właściwie rodzaji synchronizacji - zboczem narastającym - zboczem opadającym - latch i teraz np dla latcha- dla wejścia C=1 zaznaczasz sobie najpierw a raczej przepisujesz wejście na wyjście , póżniej...

    Początkujący Elektronicy   11 Gru 2006 22:31 Odpowiedzi: 6    Wyświetleń: 2471
  • Jak obsłużyć CMOS z accessible latch do sterowania urządzeniami?

    A nie addressable latch ? Czyli rejestr z adresowaniem poszczególnych przerzutników ? http://www.semiconductors.philips.com/pi... http://www.alldatasheet.com/datasheet-pd...

    Mikrokontrolery   21 Lut 2005 23:59 Odpowiedzi: 1    Wyświetleń: 929
  • Rejestr przesuwny 74HC164 - Sterowanie z mikrokontrolera Atmega16

    OE - output enable. Sterujesz tak samo - SCK, SDI + LE - latch enable, które powoduje przepisanie z przerzutników na wyjścia.

    Mikrokontrolery   30 Gru 2012 16:06 Odpowiedzi: 12    Wyświetleń: 3387
  • CD4099-kilka pytań dot. odpowiedniego podłączenia

    Witam Dlaczego chcesz używać CD4099. W TTLach jest układ dekodera priorytetowego UCY7440 (dekoder kodu BCD na kod dziesiętny). Załatwia to sprawę dekodowania i wystawiania danych na wyjściu. Układ mam jednak tę wadę, że nie ma zatrzasków, które trzeby dać na wyjściu. Sprawa jest więc do przemyślenia. Co do 4099 to proponuję przyjrzeć się nocie Fairchailda...

    Elementy Elektroniczne - zamienniki i identyfikacja   06 Lut 2005 13:22 Odpowiedzi: 2    Wyświetleń: 1384
  • Błąd Multi-source w module SPI na sygnale busy w projekcie Spartan-3A. Jak naprawić?

    nie calkiem rozumiem, czemu wypowiedz adama az cie powalila na podloge; O.K. to widać ja o czymś nie wiem, dla mnie to dwa takie same przypisania, tyle że jedno odłożone w czasie do chwili zatrzymania procesu tudzież klauzury always czy initial. to, ze znaczek "<=" bardziej odpowiada architekturze fpga jest calkiem sluszne; Znaczek "<=" oznacza...

    Programowalne układy logiczne   21 Mar 2008 17:00 Odpowiedzi: 25    Wyświetleń: 2624
  • 74HC74 – nieprawidłowe wyzwalanie z LM311, zmiana stanu Q przy obu zboczach

    Uważam jak przedmówca wcześniej, trzeba dać Schmity, bo to są TTL, z moich doświadczeń wynika że 7474 są bardzo wrażliwe na zakłócenia, a jeśli chodzi o zakłócenia, to jest to przerzutnik typu D a nie Latch, więc jest ważne każde zbocze a nie potencjał

    Inne Serwis   28 Gru 2004 18:16 Odpowiedzi: 12    Wyświetleń: 2096
  • Jak zrobić licznik goli i czasu do airhockey z czujnikiem laserowym?

    Wyświetlacz multipleksowany to taki do którego będzie trzeba jakiś program napisać tak? Nie, ale wymaga układu z multipleksowaniem. Dla pojedynczego wyświetlacza nie ma multipleksowania, i takie wyświetlacze można połączyć z multipleksowaniem, albo bez - różnica polega na tym, które piny poszczególnych cyfr łączy się przy łączeniu cyfr, przy multipleksowaniu...

    Projektowanie Układów   31 Gru 2018 13:52 Odpowiedzi: 10    Wyświetleń: 1332
  • Jak zrealizować licznik modulo 3 na układzie scalonym 7475 – schemat i opis działania

    Witam, bez dodatkowych elementów nie da rady, ponieważ "kostka" 7475 to tzw. Latch (zatrzask), czyli przerzutnik potencjałowy będący repetytorem stanu wejść kiedy jest "przeźroczysty" -> wejście wpisu aktywne, lub "zatrzaśnięty", czyli zapamiętujący stan wejść bezpośrednio przed "zatrzaśnięciem" --> wejście wpisu nieaktywne. Pozdrawiam

    Początkujący Elektronicy   29 Paź 2006 12:02 Odpowiedzi: 12    Wyświetleń: 6564
  • Alarm na 4093 - nie działa, zmiany w R5, C3, R6 i tranzystorze C1027

    Znajdź szczegół różniący dwa obrazki http://obrazki.elektroda.net/25_12902047... i... Weź jakiś ostry sprzęt i popraw druk na płytce. Poza tym (moim skromnym zdaniem) wejścia przerzutnika, z uwagi na podłączenie przeładowywanych pojemności i możliwość wystąpienia na nich potencjałów wyższych niż zasilanie, powinny być zabezpieczone przed zjawiskiem...

    Projektowanie Układów   29 Lis 2010 10:12 Odpowiedzi: 33    Wyświetleń: 9753
  • Układ 89C51 - stabilizator się grzeje, wyświetlacze przygasają, błędy w programie

    Piszę wyraznie szanse, bo nie oceniam go na 100% pewny. A to z takiego wzgledu: rozpatruję dwa zatrzaski pierwsze od procesora sterowane 1 linią, na port wystawiana jest dana (skrajna prawa na wyswietlacz), teraz narastajace zbocze sygnału CP zatrzaśnie w pierwszym od lewej zatrzasku dane z portu procka oraz to co jest na wyjsciu tego pierwszego zatrzasku...

    Mikrokontrolery   05 Maj 2004 01:56 Odpowiedzi: 10    Wyświetleń: 1871
  • Podłączenie przerzutnika w zadaniu z UM - jak podłączyć ostatni scalak?

    Po pierwsze: Popraw bledy szyn zasilania (zwarte Vcc i Vss w ROM i RAM, niepodlaczona GND w Z-80, nieoznaczone Vcc i Vss w LS138 i LS373). Te IC's naleza do rodziny zasilanej z +5V. Piny masy (0V) zwykle sa oznaczane: Vss lub GND (symbol w schematach: odwrocone "T" lub podobnie). Piny +5V sa zwykle oznaczane: Vcc lub Vdd Diody LED sa odwrocone - tak...

    Początkujący Elektronicy   15 Cze 2008 06:43 Odpowiedzi: 1    Wyświetleń: 1244
  • Jak zoptymalizować ogrzewanie bojlera 100l z PV 1kW i grzałkami 1.8kW oraz 0.9kW?

    Niestety, tak się ucieszyłem z ograniczenia ilości bramek, że nie zauważyłem pewnego "drobiazgu". Logiczna 1-ka na wyjściu oznacza otwarty przekaźnik, a ja przyjąłem zwarty więc układ jak wyżej nie zadziała. Najprostsze rozwiązanie to dodanie inwerterów przed ostatnimi bramkami NAND co zwiększy ilość bramek do 6-ciu. Dobrą wiadomością jest to, że wtedy...

    Energia Odnawialna   22 Maj 2021 07:17 Odpowiedzi: 130    Wyświetleń: 35829
  • Jak utrzymać diodę LED włączoną mimo zmiany oświetlenia?

    Strasznie skomplikowałeś ten schemat, wystarczyło dodać drugi tranzystor, który utrzyma ten pierwszy w stanie przewodzenia. Schemat takiego przerzutnika bistabilnego znany jest jako tranzystorowy układ zastępczy tyrystora (SCR), lub tranzystora jednozłączowego (PUT) [url=http://www.talkingelectronics.com/p...

    Początkujący Naprawy   12 Cze 2013 01:20 Odpowiedzi: 4    Wyświetleń: 3159
  • Tablica świetlna LED 128x16

    Sterowanie ledami jak pisałem wcześniej zrealizowane jest na układach DM135. Są to zatrzaski z wejściem szeregowym. W skrócie to wygląda tak że na wejście podajemy binarną interpretację wyjść i w momencie podania stanu wysokiego na wyprowadzenie LATCH ustawienia z przerzutników zostają przepisane na wyjścia. Płytka z sterownikami led wygląda następująco....

    DIY Konstrukcje   25 Lip 2018 10:50 Odpowiedzi: 16    Wyświetleń: 20841
  • Modyfikacja obwodu "soft latch power switch" - dodanie nowej funkcji

    bo jeśli dobrze rozumiem to problem polega na tym że aby powerbank został odłączony to napięcie na bramce musi być większe lub równe od tego na źródle. Tak,zgadza się. Ale nie o to tu chodzi. Problem dotyczy całego układu i polega na tym że jeśli nie ma dojścia do samochodowego 12V, to układ sterujący nie ma jak wywnioskować że jest stacyjka załączona...

    Projektowanie Układów   07 Wrz 2023 07:45 Odpowiedzi: 18    Wyświetleń: 543
  • Pytania na egzamin z technik cyfrowych: CMOS, PLD, 16L8, przetworniki AC/CA

    2). Tak, gdyz majac nawet 16L8 mozna zawsze skonstruowac na nim latch, a to juz uklad sekwencyjny. Ja bym powiedział że nie, latch to jest zatrzask, a w układzie PLD są przerzutniki D, a one działają nieco inaczej niż zatrzaski.

    Mikrokontrolery   22 Wrz 2004 23:26 Odpowiedzi: 10    Wyświetleń: 2385
  • Problem ze sterownikiem DMX Lite Puter CX5: Wyświetlacz świeci na zielono, przyciski nie reagują

    Oszacuj mi sumaryczny pobór prądu dla płyty z układami: UM6264, 2x 27c512, DS80C32, 74F245, 74HC237, 4x 74HC374 W celu oszacowania sumarycznego poboru prądu dla płyty z wymienionymi układami, potrzebujemy sprawdzić parametry pracy każdego z tych układów. Przyjmiemy, że wszystkie układy są zasilane napięciem 5V. Oto przybliżone wartości typowego poboru...

    Oświetlenie i Efekty sceniczne   11 Kwi 2023 15:51 Odpowiedzi: 4    Wyświetleń: 450
  • proste rzeczy trudnymi są dopóki się ich nie pozna...

    Witam! Grot do lutownicy transformatorowej tylko miedziany. Drut oporowy - chyba chodzi o lutownice oporowe (grzałkowe), gdzie grzałka nagrzewa grot miedziany. Parę uwag do koncepcji kolegi wiesniaka: 1. Przykro mi ale dla uzyskania tej samej jasności średni prąd płynący przez diody musi być taki sam, a więc przy sterowaniu sekwencyjnym, gdy dioda przewodzi...

    Początkujący Elektronicy   23 Kwi 2007 15:45 Odpowiedzi: 35    Wyświetleń: 3279
  • Discolitez - na jakiej zasadzie jest sterowany?

    Dzięki jeszcze miałbym pytaninie czy jest sposób na zwiększenie ilości wyjść? (I czy przerzutnik zapamiętuje wysłany sygnał?) Trzeba zacząć od tego ile diod LED chcesz wysterować. Na powyższym schemacie jest ich 32. Zmiany sterowania diod LED w tym schemacie będą prawdopodobnie wymagały stworzenia własnego pluginu do Winampa. Jaki rodzaj przerzutnika...

    Początkujący Elektronicy   17 Sty 2016 10:44 Odpowiedzi: 8    Wyświetleń: 957
  • Schematy przerzutników i rejestrów z bramek NOR/NAND - kto pomoże?

    Witam, kto Tobie dał (napisał) takie niedorzeczne w większości tematy? WItam, czy ktoś umiał by zrobić któryś z poniższych tematów?? na elaborat 1: 1. Opracować schemat przerzutników uniwersalnych typu D wykonanaych z bramek typu NOR oraz NAND Nie ma pojęcia przerzutnika uniwersalnego typu D. Są natomiast przerzutniki typu D wyzwalane poziomem (Latch)...

    Początkujący Elektronicy   31 Gru 2006 13:15 Odpowiedzi: 3    Wyświetleń: 1275
  • Weryfikacja rozwiązania zadania 3 – analiza obwodu z rysunku (załącznik)

    Nie wiem co zostało tu rozwiązane. Zad. 1 Należy podać tabelę prawdy tej funkcji. Stąd trzeba obliczyć wartość f(x1, x2, x3) dla wszystkich możliwych wartości x1, x2 i x3. Trzeba zatem rozważyć 2^3=8 przypadków. Potem trzeba to zrealizować na multiplekserze, nie zaś na bramkach. Generalnie potrzebny jest multiplekser o ośmiu wejściach i trzech wejściach...

    Nauka Elektroniki, Teoria i Laborki   14 Maj 2025 11:03 Odpowiedzi: 2    Wyświetleń: 90
  • [VHDL] Automat FSM - błąd w wykrywaniu sekwencji czterech 1 lub 0

    Mam nadzieję, że przetestowałeś swój projekt w jakimś programie do symulacji, np. ModelSim. cos Ty, zauwazylem ostatnio tendencje kodowania ala Beethoven, on na stare lata ogluchl i komponowal muzyke ale on byl geniuszem, natomiast co niektorzy ziomale pisza kod i ... synteza->impl->bit->jtag i obczajka pali sie ta dioda czy nie. To nic ze kod przeczy...

    Programowalne układy logiczne   08 Lut 2008 08:50 Odpowiedzi: 18    Wyświetleń: 2605
  • 74595 - Czy zewręcie wejścia zatrzasku do + spowoduje pracę scalaka jak w '164?

    Witam. Co widać na powyższym obrazku, część "latch-owa" działa niezależnie od "shift-owej". Jeśli już wspólne taktowanie, to opóźnione o przynajmniej kilkadziesiąt, kilkaset ns propagacji przerzutników rejestru przesuwnego. Poniżej wskazanie, o który parametr chodzi - tutaj dla wersji HC: https://obrazki.elektroda.pl/6762832200_...

    Początkujący Elektronicy   02 Sty 2021 21:58 Odpowiedzi: 17    Wyświetleń: 576
  • Analiza schematu footswitcha z JFET i D-latch do wzmacniacza gitarowego

    Koledzy prosze Was, pomozcie mi bo to moja pierwsza przygoda z elektronika cyfrowa:D zapytalbym jeszcze jake sa symbole ukladow ktore najlepiej tutaj zastosowac. Chodzi mi o tranzystory, przerzutnik oraz bramki. Calosc zasilana bedzie bateryjka 3V, taka plaska, jak zlotowka:D a napiece ktore podaje wzmacniacz to około 2V. Pozdrawiam Jarek

    Projektowanie Układów   29 Sty 2006 21:37 Odpowiedzi: 1    Wyświetleń: 1173
  • Jak zastąpić przekaźniki w testerze układów elektronicznych?

    Witam! Czyżby to była jakaś szafa sterująca (a może nawet kilka) rodem z 20 wieku :?: ;-) No i masz problem z testowaniem dużej ilości rozmaitych pakietów z tych szaf ("złącza takie same a wyprowadzenia różne"). Napięcie zasilania i sygnałowe 24V - to mi pachnie techniką np. logisterową. Pracują gdzieś jeszcze takie sterownie w oparciu o stare technologie...

    Projektowanie Układów   13 Wrz 2008 17:05 Odpowiedzi: 3    Wyświetleń: 1485
  • Modyfikacja częstościomierza na TTL: problem z lampami NIXIE i układem 74141

    http://obrazki.elektroda.pl/5177659700_1... I słusznie, ponieważ to jest minimalna wartość napięcia gwarantująca pewny zapłon neonówki ... Natomiast wartość płynącego prądu jest ograniczania poprzez rezystor dołączony pomiędzy plusem zasilania a anodą lampy NIXIE. Oczywiście, zbyt duża wartość napięcia anodowego może zaszkodzić układom...

    Początkujący Naprawy   17 Maj 2011 15:45 Odpowiedzi: 8    Wyświetleń: 4088
  • "generator impulsów" do licznika Johnsona

    Ma dużo nóżek, więc niewygodny do lutowania - mniej na niego chętnych; wymaga szerszego opakowania - mniej wygodny do trzymania w sklepie. Na dodatek daje się zastąpić innymi układami. Widywałem go w wersji standard (74154), ale nie H, S, czy LS - a teraz wersja standard niemodna, bo dużo prądu żre. Jak chesz mieć 20 wyjść, to 74154 nie wystarczy -...

    Projektowanie Układów   16 Cze 2012 17:17 Odpowiedzi: 16    Wyświetleń: 4090
  • Tłumaczenia polskich terminów elektronicznych na angielski

    "Kilka" częściej spotykanych słówek i wyrażeń na początek amplifier (amp) - wzmacniacz preapmlifier (preamp) - przedwzmacniacz power amplifier - wzmacniacz mocy analog multiplier - analogowy układ mnożący ****************************************... PLL - Phase Locked Loop - pętla z synchronizacją fazy ****************************************...

    Początkujący Elektronicy   13 Maj 2005 19:48 Odpowiedzi: 12    Wyświetleń: 3660
  • Podłączenie elektromagnesu typu "latched"

    Przy sterowaniu jednokanałowym trzeba by zastosować kawałek przerzutnika bistabilnego i monostabilny limitujący cas impulsu dla elektrozaworu. Zostałaby kwestia kontroli - w jakiej pozycji obecnie znajduje się zawór. Potrzebna byłaby informacja z kanału zwrotnego, co komplikuje układ... Chyba że sterownik po załączeniu "trzyma" zadany stan. Wtedy tylko...

    Początkujący Elektronicy   06 Lip 2020 10:30 Odpowiedzi: 19    Wyświetleń: 486
  • VHDL: Znaczenie warningu Xst:1896 w ISE 9.1/10.1 dla FF/Latch trimming

    Witam Z tego co pamiętam to ten warning oznaczał albo że jakiś przerzutnik nie jest wyzwalany zegarem tylko poziomem (a tego ISE nie lubi), albo jakiś trywialny błąd który znikał po ponownym odpaleniu ISE. Trudno coś powiedzieć bez listingu. Czy to się odwołuje do jakiejś konkretnej linijki kodu?

    Programowalne układy logiczne   03 Cze 2009 16:57 Odpowiedzi: 7    Wyświetleń: 1969
  • Jak zaprojektować układ cyfrowy do sterowania zasilaczem 5kV przez USB?

    Zrób to na uC bo jak się wgryźć w szczegóły to nie ma sensu na TTL tego robić. Chodzi najbardziej o czasy narysowane w PDFie układu FT. Np. dajesz główny zegar oraz dwa zatrzaski '573. Jeden pracuje w lewą stronę, drugi w prawą (odczyt/zapis). Ten od odczytu OE ma do masy, natomiast LE dajemy jako LE1. LE2 to latch zatrzasku zapisu do USB, dodatkowo...

    Automatyka Przemysłowa   09 Lip 2010 19:40 Odpowiedzi: 11    Wyświetleń: 2515
  • Attiny13A - zmiana pliku ASM dla 2 kanałów momentary i 2 kanałów latch

    Wyobraź sobie, że akurat montuję to bez pcb, dlatego obstaję przy attiny13 nawet w wersji DIP, bo wkładam go do programatora bez żadnych przejściówek. Układ jest mi potrzebny do sterowania wzmacniaczem audio: Vol+, Vol-, power on-off, selector 1-2. Wiem, że można go rozbudować o dodatkowe przerzutniki i zaadaptować w ten sposób wersję "4 kanały momentary",...

    Mikrokontrolery AVR   25 Maj 2016 10:06 Odpowiedzi: 16    Wyświetleń: 1746
  • VHDL - Jak usunąć warningi Xst:1426 dotyczące inicjalizacji FF/Latch?

    Ten fragment wygląda normalnie, albo i nie, zależy od całości :) Ogólnie ten warning sygnalizuje Tobie, że coś się nie zmienia (constant) i przy tak zwanym Power-on Reset syntezer XST lepiej to zoptymalizuje jeśli zainicjujesz przerzutnik wartością '1' bo taką stwierdził, że będzie na stałe niż domyślnie '0'. Czyli pewnie Tobie się zdaje, że coś się...

    Programowalne układy logiczne   10 Lip 2012 20:02 Odpowiedzi: 5    Wyświetleń: 2057
  • Projekt maszyny z pamięcią BCD i porównywaniem kodów - opinie ekspertów

    no R ma resetowac przerzutnik... a na tamtych ukladach na razie nie wiemjak to robic poniewaz maja wspolne wejscie zegarowe... sprawa sie komplikuje bo wszystkie sie moga przestawiac znalalem jakis latch ale tak jak pisze... moe by dodac do kazdego stanu klawiatury wysylany impuls zegarowy no i setem ustawiac 0 albo 1... tak to ma byc?? kazdy z osobna...

    Projektowanie Układów   12 Cze 2005 17:56 Odpowiedzi: 21    Wyświetleń: 2771
  • Jak zmodyfikować footswitch do gitary z mikroswitchem COM NO/NC na SR latch?

    Chciałbym, żeby działał tak, że jak nadepnę pedał i puszczę, to przewody, które doprowadziłem do przełącznika, będą zwarte, a po kolejnym naciśnięciu i puszczeniu - rozwarte. Podobno możnaby zastosować do tego jakiś "przełącznik" SR, zgadza się? Raczej przerzutnik typu T, zrealizować można na wiele sposobów, choćby na tranzystorach: http://obrazki.elektroda.pl/4521209200_1...

    Początkujący Elektronicy   29 Maj 2013 07:04 Odpowiedzi: 25    Wyświetleń: 3168
  • Jak zaprojektować przerzutnik Master-Slave JK na bramkach logicznych?

    Czy istnieje jakiś sposób do zaprojektowania np Master Slave'a JK na bramkach???? Mi z grafu wychodzą nadmiarowe bramki... :/ A niestety profesorek sam nie wiem jak to zrobić.. każe zapamiętać kilkanaście bramek :/ Macie jakieś pomysły??? Zasada jest dosyć prosta. Tego typu przerzutnik składa się z dwóch zatrzasków. Ponieważ z grafu przejść wynika...

    Początkujący Elektronicy   04 Gru 2006 12:28 Odpowiedzi: 4    Wyświetleń: 1935
  • Jak zapamiętać stany wejść SN74LS247 przy wolnych zmianach poziomu cieczy?

    Witam, Jeżeli ktoś ma pomysł i może pomóc będę wdzięczny. Otóż układ jest taki jest układ SN74LS247, który steruje wyświetlaniem ledów siedmiosegmentowych. Na wejściach A, B, C, D pojawia się kod BCD z innej części układu, którego zadaniem jest zamiana stanów na dziewięciu pinach poprzez zwieranie ich do masy (podanie 0). W ten sposób wyświetlacz pokazuje...

    Początkujący Elektronicy   09 Sty 2010 19:39 Odpowiedzi: 12    Wyświetleń: 3333
  • sterowanie 3 cyfrowym led (7 segmentowym) przez 89s52

    Każdy scalaczek 4511 ma swój segment :) 7-segmentowy i wewnętrzą pamięć 1 bajtową :) na przerzutnikach :) Dodano po 8 Po za tym jest to dość złożone rozwiązanie pod względem programowym bo wynik wysyłasz w BCD :) U mnie taki wyświetlacz działa nawet z 8 znakami jako wyświetlacz czestościomierza CMOS i dzięki funkcji LATCH mogę zrealizować funkcję HOLD...

    Mikrokontrolery   05 Paź 2007 10:21 Odpowiedzi: 21    Wyświetleń: 3353
  • VHDL: Jak usunąć latch'e przy sygnałach TEMPERATURA i POZIOM w automacie?

    Temat był już wałkowany na elektrodzie kilka razy, więc będę się powtarzał. Ten kawałek kodu nie wygląda za dobrze. Po pierwsze: warunki typu </> nie są zbyt wydajnie realizowane. Po drugie: tak się nie buduje przerzutników. Moja propozycja: SIGNAL count2: std_logic_vector (25 downto 0); process(clk_80M) begin if rising_edge(clk_80M)...

    Programowalne układy logiczne   19 Lut 2008 16:57 Odpowiedzi: 8    Wyświetleń: 2381
  • Problem z angielskimi nazwami technicznych zwrotów

    - z różnych schematów blokowych pamięci EEPROM SPI: 1.) I/O SHIFT REGISTER - rejestr przesuwający we/wy 2.) Adress Decoder - dekoder adresów 3.) Mode Decode Logic - logika (układy logiczne) dekodowania trybu (pracy) 4.) Timing Logic - układy taktowania. generator przebiegów taktujących - z róznych schematów blokowych przetworników A/C na interfejs SPI:...

    Początkujący Elektronicy   27 Wrz 2007 18:21 Odpowiedzi: 9    Wyświetleń: 3175
  • Jak ręcznie zaprogramować EPROM, konwertując plik WAV na binarny?

    witam kupilem dzisiaj tych epromow 27c512, 27c256 kilkadziescia nawet wzialem jeden 4mb na probe... do tego jakies ramym 3 procesory th 2x 80c31 i jeden 87c51 - wszystko intela... po jakichs smiesznych cenach 1-2 pln ale epromy sa do skasowania no i teraz tak czytam o tych kasowanikach i ludzie pisza zeby z lampy rteciowej czy cos takiego zrobic ale...

    Mikrokontrolery   21 Lut 2007 15:25 Odpowiedzi: 28    Wyświetleń: 4710
  • Jak zamienić włącznik pstryczkowy na impulsowy do przekaźnika 16V?

    tak oczywiscie jest takowe urzadzenie .Nazywa sie LATCH .jeden impuls wyzwala przekaznik drugi gasi go i tak przemiennie.Ja mam takie fabryczne na HCF4024 plus 2 diody,tranzystor ,3 diody,2 kondensatory i 3 oporniki to cały układ.Mozesz takowy zbudowac na przerzutniku jak chcesz sie sam pobawić.Są też w sprzedaży w sklepach z akcesoriami elektrycznymi...

    Projektowanie Układów   24 Sie 2007 21:07 Odpowiedzi: 6    Wyświetleń: 4791
  • Nieprawidłowe zliczanie w liczniku rewersyjnym CD4029 - co sprawdzić?

    Witam! Przepraszam za wczorajszą nieobecność. Mam internet radiowy i po oststnich burzach nie chodzi jak trzeba. Na wyjściu Q1, ledem pdłączonym do masy, nic nie zobaczysz, bo masz diodę D29 właczoną katodą do wyjścia. Zewrzyj tę diodę na czas prób, albo podłącz ledy anodami do + zasilania. Będą świecić w niskich stanach. Różnica między ceramikami i...

    Projektowanie Układów   06 Lis 2009 22:14 Odpowiedzi: 22    Wyświetleń: 9678
  • 74193, jak wymusić stan poczatkowy w cyklu zliczania 4,5,6,7

    Z tego co widać Autorowi zupełnie nie chodzi o to o czym my piszemy (szczególnie po ostatnich objaśnieniach). Ja rozumię obecnie założenia do projektu w sposób następujący: 1. Na wejścia licznika C+ i C- mają być podane impulsy prostokątne (nieważne czy fala ciągła z generatora, czy impulsatora ręcznego). 2. Licznik ma wystartować od cyfry 4 i po pierwszym...

    Początkujący Elektronicy   12 Lis 2006 15:27 Odpowiedzi: 11    Wyświetleń: 2352
  • Jednoczesne przepisywanie wartości z licznika oraz resetowanie go

    Układ ma co pewien czas wyświetlić na 7-segment zawartość licznika, po czym licznik ma się zresetować. Na reset licznika podaję sygnał. Korzystam z CD4543BE, na którego LD podaję impuls (sygnał i negacja sygnału na NAND). Gdy podłączę tylko impuls na LD, to co pewien czas wartość na wyświetlaczu zwiększa się - czyli działa prawidłowo. Gdy podłączę tylko...

    Projektowanie Układów   30 Gru 2016 15:15 Odpowiedzi: 7    Wyświetleń: 969
  • MultiSim - Jak zatrzymać i wznowić zliczanie impulsów w układzie 555 i 4029?

    Witam, Realizuję powoli projekt na laborki i niestety wpadłem na problem którego nie mogę rozwiązać. Mianowicie mam układ generatora astabilnego na 555, jego częstotliwość to około 1Hz z około 50% wypełnieniem. Sygnał z generatora idzie do układu liczącego 4029, a następnie na driver 4543 który wyświetla cyferki na 7 segmentowym wyświetlaczu. Pomyślałem...

    Początkujący Elektronicy   15 Paź 2015 20:09 Odpowiedzi: 1    Wyświetleń: 828
  • Załączanie przekaźników mikroswitch-em poprzez bramkę Nand

    Witam, czyli interesuje cie cos takiego: 1) przerzutnik !R!S zbudowany z 2 bramek '00 i wyjscia Q i !Q steruja swoimi przekaznikami: http://www.learnerswings.com/2014/07/pra... pierwszy uklad gdzie zamiast LED podlaczas twoj driver na tranzystorze oczywiscie przez rezystor. 2) uklad eliminacji drgan stykow to w tym...

    Początkujący Elektronicy   21 Wrz 2020 15:04 Odpowiedzi: 11    Wyświetleń: 624
  • Sterownik wyświetlaczy LED na układzie FPGA - miniprojekt

    :) Tak gwoli jeszcze ścisłości jeżeli masz taki kod [syntax=verilog] if(cs_rising_edge) data <= tmp_data; [/syntax] to istnieje prawdopodobieństwo stworzenia Latch'a czyli przerzutnika reagującego nie na zbocze, ale na stan logiczny - ogolnie laczy sie unika, opozniają propagację sygnałów w chipie. Tutaj cię ratuje to, że masz powyżej posedge od...

    DIY Konstrukcje   08 Lip 2013 22:20 Odpowiedzi: 30    Wyświetleń: 22419
  • vhdl->symulacja a rzeczywistośc uk. fpga (xc3s200)

    Generalnie wszystko ladnie, tylko w twoim kodzie nie podoba mi sie jedna rzecz. Mianowicie sposób w jaki używasz variable, w jednej linii robisz przypisanie: uCDataNew:='1'; uCDataReg:=uCData; a w następnej od wartości pierwszego variable uzależniasz przypisanie drugiego variable do kolejnego rejestru: if uCDataNew='1' then b_uCDR<=(uCDataReg(0)...

    Programowalne układy logiczne   05 Gru 2007 11:40 Odpowiedzi: 7    Wyświetleń: 2781
  • Układ zatrzaskujący/zapamiętujący stan logiczny po jego zmianie

    Witajcie po krótkiej przerwie :), To co piszesz sie kupy nie trzyma LT1638 to nie komparator a wzmacniacz operacyjny, jaka różnica? taka że za konstrukcja wzmacniacza operacyjnego (kompensacja) ogranicza szybkość narastania na wyjściu, w twoim LT1638 SR to zaledwie 0,4V/us a więc zmana z 0 na 24V potrwa 60us - ten "komparator" przebiegów szybszych od...

    Projektowanie Układów   27 Wrz 2015 11:43 Odpowiedzi: 9    Wyświetleń: 1806
  • Syntezator - 45 przycisków, 38 potencjometrów czyli jak to multipleksować itd?

    Witam, A czemu 23 uklady 4013? 1) Rejestr przesowny nie zmieni stanu do kolejnej aktualizacji, rejestry sa zbudowane z przerzutnikow. 2) Czestotliwosc zegara = szybkosc aktualizacji, jak bedzie duza nie zauwazysz jak sie aktualizuje/miga 3) ilosc taktow zegara = ilosc bitow, no chyba ze chcesz przesylac pusta informacjie i zapelnic rejest zerami. Jezeli...

    Początkujący Elektronicy   29 Maj 2018 19:45 Odpowiedzi: 31    Wyświetleń: 1542
  • Przerzutniki na wyjściach mikrokontrolera? Po co stosować?

    Hej, przeglądając trochę starszych schematów trafiam często na rozwiązania z multiplekserami/buforami/przerzutnikami. W starych schematach używano po kilka '574 '541 do podłączenia większej ilości we/wy do jednej ośmiobitowej magistrali danych, konkretny układ wybierały dekodery adresowe. Było też multipleksowanie magistrali danych i adresu przy pamięciach...

    Projektowanie Układów   11 Paź 2019 07:11 Odpowiedzi: 2    Wyświetleń: 324
  • Przerzutnik typu D wyzwalany zboczem opadającym (Multisim9)

    Jak można uzyskać przerzutnik typu D wyzwalany zboczem opadającym w Multisim9 znalazłem tylko wyzwalany zboczem narastającym - D_FF są jeszcze :: D_FF_NEGSR D_FF_POSSR D_LATCH D_LATCH_NEGSR ale żaden nie jest wyzwalany zb. narast.

    Projektowanie PCB   17 Maj 2016 10:02 Odpowiedzi: 1    Wyświetleń: 2826
  • Jak zrobić zegarek z układem 555 i wyświetlaczami siedmiosegmentowymi?

    Witam Ze stabilnoscia 555 jest raczej kiepsko, bo czestotliwosc jest zalezna od rezystorow i kondensatorow, a ich parametry silnie zaleza od temperatury. Lepszy od 555 bylby np. 4060 z kwarcem zegarkowym 32768Hz - ma dodatkowo wewnetrzny dzielnik (przez max 2^14), po podzieleniu czestotliwosci przez 2 (np. przerzutnik D) masz gotowy sygnal taktujacy....

    Początkujący Elektronicy   28 Sie 2005 11:45 Odpowiedzi: 7    Wyświetleń: 1716
  • Wybór 8-bitowych przetworników C/A do mikrokontrolera C2051 z I2C

    Ja to widzę tak, bez komparatorów: - Generator kwarcowy 10MHz, co da po podzieleniu przez 256 wartość 39kHz. - 1 licznik modulo 256 (8-bitowy), np. HC4520, aby uzyskać okres 256 razy większy od zegara, - Licznik programowany HC40103 (8-bitowy) na każdy "kanał", jest to licznik zliczający w dół z wejściami równoległymi i tylko jednym wyjściem, na którym...

    Mikrokontrolery   20 Mar 2004 11:27 Odpowiedzi: 17    Wyświetleń: 2250
  • CD4013 - obciążalność przerzutnika i podmiana elementów.

    Zadaniem bramek 4093 jest wygenerowanie pojedynczego zbocza zegarowego opóźnionego w stosunku do zmian na wejściach D przerzutników tak żeby zapis był pewny. Układ co prawda ma RESET po włączeniu zasilania (R1/C1) ale potem działa jak 3-pozycyjny przełącznik obrotowy, to znaczy zawsze któreś z wyjść jest aktywne. Równie dobrze można było użyć trzech...

    Początkujący Naprawy   10 Maj 2013 14:26 Odpowiedzi: 40    Wyświetleń: 18681
  • Generator impulsow o zmiennym w zakresie wypelnieniu

    Zacytuje lecha który keidyś pomógł mi zrealizować ten problem sprzetowo i bardzo dokładnie w sumie i właściwie na dowolnych częstotliwościach (ja potrzebowałem 40kHz i 4 niezależnych kanałów o tej samoe częstotliwości i różnym czasie wypełnienia): "Ja to widzę tak, bez komparatorów: - Generator kwarcowy 10MHz, co da po podzieleniu przez 256 wartość...

    Mikrokontrolery   30 Maj 2004 19:43 Odpowiedzi: 5    Wyświetleń: 2081
  • 21 wyświetlaczy 7segmentowych jak uzyskać maksymalną jasność

    Jeżeli masz wyświetlacze podłączone do procka to już nie uzyskasz jaśniejszego świecenia wyświetlaczy. Wklej jakiś poglądowy schemat jak to zrobiłeś. Gdybyś dodał tylko trzy rejestry przesuwne (74164) i jeden multiplexer to do sterowania potrzebowałbyś jednego portu, a pozostałe masz wolne do wykorzystania na inne cele. Koncepcja jest oczywiście mi...

    Mikrokontrolery   30 Cze 2010 19:14 Odpowiedzi: 18    Wyświetleń: 2623
  • Sprawdzenie schematu przedwzmacniacza 5.1 z TDA7314 i ATmega

    Ok, tych przycisków na 5V nie byłem pewny, teraz już wiem jak :) zaraz je poprawie. Co do przełączania I2C to nie bardzo rozumiem - ATmega ma przecież tylko 1 to wyprowadzenie. Co do użycia tylko jednego uP to kombinowałem z przerzutnikami latche D ale one mają fatalnie rozłożone piny - trzebaby robić mnóstwo zworek. Jaki ma Pan inny pomysł na rozszezenie...

    Projektowanie Układów   09 Sty 2008 12:48 Odpowiedzi: 19    Wyświetleń: 3543
  • Jak zbudować generator 10 MHz z kwarcu i podzielić sygnał do 1 Hz?

    no wiec Quartz w dataseet Texas Instrument piszą dokładnie na odwrót: logic one is required at the phase input for WK ; logic 0 is required for WA ... dziwne ... czarutek jeśli chodzi o kwarce to w nowym elektroniku jest wiekszość ... otóż zdradze Wam na czym polega moje dzierganie ... chce zrobić projekt z cyfrówki zawierający elementy poznane teoretycznie...

    Początkujący Elektronicy   01 Sty 2007 18:24 Odpowiedzi: 30    Wyświetleń: 5235
  • Tworzenie układu do załączania diody LED przez przycisk zwierny - napięcie 3V

    Chodzi o przycisk mechaniczny? Potrzebujesz układ, który będzie robił za przekaźnik bistabilny - to może być układ na tranzystorach, układ NE555, przerzutnik, mikrokontroler, a nawet przekaźniki. Do tego układ filtrujący drgania zestyków. Skąd wziąłeś 3V? Z tego napięcia jest brane zasilanie? Ilustracje do tych rozwiązań: wpisz w google-a taką frazę:...

    Elektryka Dla Każdego   04 Gru 2021 22:04 Odpowiedzi: 4    Wyświetleń: 285
  • Dlaczego mój bufor dwukierunkowy na CPLD nie działa? Analiza kodu

    /... Zrealizowałem coś takiego na CPLD,ale dalej nie działa:cry: 'process' jest niepotrzebny, jesli chcesz miec po prostu bramki bi-dir; zamkniecie twojej logiki w procesie spowodowalo najprawdopodobniej, ze syntetyzator uzyl latch'y [przerzutnikow sterowanych poziomem]; powinno byc jakos tak: architecture behavioral of bi_dir is begin BUFF_ADDR <=...

    Programowalne układy logiczne   25 Wrz 2007 12:43 Odpowiedzi: 6    Wyświetleń: 1779
  • Obsługa wyświetlacza LCD - identyfikacja magistali

    Witajcie. Pozwólcie że odkopię troszkę temat bo chyba warto. Mam bardzo podobny wyświetlacz z tym że ja mam w szeregu 2 sztuki i nieco iny sterownik jest do tego. Myślę że sposób sterowania jest bardzo podobny jak nie identyczny. "zeskanowałem" go analizatorem Logic przy max próbkowaniu. Najwygodniej jeśli chętni pobiorą sobie program logic 1.1.15 i...

    Mikrokontrolery   01 Cze 2014 21:46 Odpowiedzi: 10    Wyświetleń: 2986
  • Verilog - wykrywanie zbocza w słowie 16-bitowym bez FDC w Xilinx ISE

    Chcę zrobić układ wykrywający zbocze/.../ to FDC to zwykly flip-flop, wiec mozesz taki przerzutnik napisac sam i zamiast FDC implementowac w petli 'generate' swoj; albo wpisac w petli wprost opis przerzutnika; generate for (i=0; i < N; i = i + 1) begin:trigger_edge_latch_reg always (at)(posedge mem_data[i] or negedge reset)...

    Programowalne układy logiczne   28 Gru 2007 02:31 Odpowiedzi: 1    Wyświetleń: 1820
  • Symulacja post-route, skąd te pliki? Jak sie ich pozbyć?

    przeprowadziłem symulację u siebie i nie zauważyłem wskazanych szpilek :( ale proponuję trochę zmian: jeśli ma być to pwm o stałych współczynnikach to lepiej zadeklarować sygnał color_B jako constant. zamiast latch'y zostanie wygenerowana pamięć typu rozproszonego (distributed memory :)) . drugi process zostanie błędnie zsyntezowany ponieważ nie ma...

    Programowalne układy logiczne   28 Sty 2009 17:04 Odpowiedzi: 6    Wyświetleń: 1338
  • Jak wyzerować drugi licznik 74F163 przy niskim stanie wejściowym?

    Chciałbym się jeszcze zapytać o przerzutnik RS. Otóż wyżej uruchomiony układ powinien mi generować przy pomocy bramki NAND podłączonej do wyjść drugiego licznika impulsy, gdy zliczy do odpowiedniej liczby. Chciałbym, aby ten impuls zablokował wyjście latch'a. Impuls jest dość krótki(300ns) i chciałbym aby on trwał, aż do wyłączenia go przez użytkownika(przycisk...

    Projektowanie Układów   13 Gru 2013 23:54 Odpowiedzi: 3    Wyświetleń: 2946
  • Jak zaprogramować Siemens LOGO do sterowania żarówką przyciskiem astabilnym?

    LogoSoft ma przerzutnik w funkcjach dodatkowych, opis z help : "Latching relay Short description A signal at input S sets output Q. A signal at input R resets output Q.   Connection Description Input S Set output Q with a signal at input S (Set). Input R Reset output Q with a signal at input R (Reset). Output Q is reset if S and R are both set (reset...

    Automatyka Przemysłowa   20 Maj 2009 22:44 Odpowiedzi: 6    Wyświetleń: 9870
  • Lampa stroboskopowa do ustawiania kąta przesunięcia zapłonu

    Witam wszystkich! Chciałbym przedstawić projekt, którego celem było praktyczne wykonanie układu lampy stroboskopowej. Taka lampa jest niezbędna do ustawiania kąta przesunięcia zapłonu w silnikach spalinowych. Urządzenie wykonałem kilka lat temu i do tej pory bezawaryjnie mi służy. https://obrazki.elektroda.pl/8933070600_... https://obrazki.elektroda.pl/2169019500_...

    DIY Konkurs   25 Paź 2017 23:01 Odpowiedzi: 19    Wyświetleń: 10911
  • Jakie wartości rezystancji i prądów dla przerzutnika RS na HEF4001BP przy 12V?

    ja to nie ma w google http://www.play-hookey.com/digital/rs_no... a to co ?? A w ogóle do czego ci ten przerzutnik i o jakich rezystorach mówisz, bo w spoczynku musi panować na wejściach stan niski, to chyba wiadomo jak podłączyć rezystory

    Początkujący Elektronicy   19 Gru 2006 18:13 Odpowiedzi: 8    Wyświetleń: 2930
  • Cyfrowy prędkościomierz do roweru

    Układ umożliwia zmierzenie prędkości jazdy rowerem w zakresie 0 = 99 km/h. Dodatkową funkcją jest wyświetlenie maksymalnej prędkości również po zatrzymaniu roweru.Urządzenie zostało wykorzystane w rowerze o średnicy kół 70 cm Zasada działania: Zespół pomiarowy składa się z kontaktronu i dziewięciu symetrycznie umieszczonych magnesów stałych (przymocowanych...

    Artykuły   22 Kwi 2004 10:44 Odpowiedzi: 7    Wyświetleń: 13015
  • Jak napisać program VHDL dla 6-ściennej kostki z wyświetlaczem i LED?

    zapis y <= y jest bez sensu, bo i tak narzedzie syntezy go wywali. Pozatym jest niezgodne z syntaxem VHDL-a, dobra maniera jest operowanie na sygnalach wtedy moze na nie pisac i je czytac, czyli ... signal y_int : std_logic; begin y <= y_int; ... Do tego jak dla mnie to ten przycisk powinien enablowac przypis: if przycisk = '0' then aktualny <=...

    Programowalne układy logiczne   28 Mar 2006 08:34 Odpowiedzi: 15    Wyświetleń: 4018
  • Jak działa układ podtrzymania syreny w alarmie samochodowym po zamknięciu drzwi?

    Co rozumiesz przez pojecie „urządzenie” A tok ogólnie to przerzutnik RS działa mniej więcej tak jak opisałeś http://www.play-hookey.com/digital/rs_na...

    Początkujący Elektronicy   10 Lip 2006 12:08 Odpowiedzi: 5    Wyświetleń: 2853
  • Jak poprawnie zaimplementować toggle switch w mikroC dla PIC?

    Witam Wszystkich! Zaczolem zabawe z PIC i C (C dlatego ze migracja kodu na rozne PIC'e jest bezproblemowa) i tak przerobilem pare przykladow na diodach i przyciskach ale nie wiem jak ustawic by stan na wyjsciu pozostalwal np z 0 na 1 i z 1 na 0 (toggle inaczej latching) kod pisze w mikroC pro Tak wyglada moj kod: void main () { PORTB=0X00; PORTC=0X00;...

    Mikrokontrolery PIC   16 Lip 2009 01:05 Odpowiedzi: 11    Wyświetleń: 1835
  • [Studia]Stworzyć mikroprocesor na elem. log. i wgrać na FPGA

    Witam wszystkich. Ogólnie to: Dostałem projekt na studiach w którym to mam zaprojektować procesor a następnie wgrać do FPGA. Normalnie pewnie nie miało by to sensu ale mam to zrobić żeby się po prostu nauczyć konstrukcji podstaw procesorów i posługiwania się FPGA Więcej: Na początku powiem, że jestem w Portugalii na erasmusie i nie mam się za bardzo...

    Programowalne układy logiczne   23 Gru 2010 23:38 Odpowiedzi: 4    Wyświetleń: 2639
  • Implementacja asynchronicznego mikroprocesora na FPGA - materiały i wskazówki

    slyszalem ze mozna to jeszcze robic metoda hardcorowa tak rozmiescic wszystkiep olaczenia ze opoznienia na liniach tak sie zgraja z czasami propagacji ze to bedzie dzialac:D ale wiadomo to nie wchodzi w gre ;) Troche mnie rozbawiles, bo jesli chcesz zeby cos dzialalo asynchonicznie to niestety bedziesz musial zapanowac nad czasami propagacji. Rozmieszczanie...

    Programowalne układy logiczne   08 Mar 2009 19:08 Odpowiedzi: 6    Wyświetleń: 2283
  • Jak zaimplementować moduł czytający klawiaturę w VHDL?

    Witam! Zrobiłem moduł czytający klawiaturę library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity key_reader is port( scan_code : in std_logic_vector(10 downto 0);-szyna bitowa freq_cnt : out unsigned (11 downto 0);- dzielnik cz ęstotliwosci start,wyb_syg : out std_logic -start informuje automat o zaczęciu pracy, wyb_syg oznacza...

    Mikrokontrolery   02 Cze 2009 20:25 Odpowiedzi: 0    Wyświetleń: 882